system verilog队列常用操作

system verilog常用的一种数据类型是队列,队列的声明是带有美元符号的下标:[$],队列元素的索引是0到$.$代表队列元素的最后一个值。
for(int i=1;i<6;i++)begin
data_q.push_back(i); //1,2,3,4,5
end
data_q.size() //5
data_q[$] //5
sel_q = data_q.min() // 1 min操作会将最小值存放到队列sel_q内,不能直接获取
sel_q = data_q.max() // 5 min操作会将最小值存放到队列sel_q内,不能直接获取
data_q.push_back(2) //1,2,3,4,5,2
sel_q = data_q.unique()//会将data_q重复的元素剔除后,存到sel_q,内容是1,2,3,4,5
data_q.pop_back() // 1,2,3,4,5
data_q.shuffle() //队列内的元素打乱处理,一种结果是4,2,5,3,1
data_q.reverse() //1,3,5,2,4

发布了22 篇原创文章 · 获赞 3 · 访问量 759

猜你喜欢

转载自blog.csdn.net/weixin_39662684/article/details/104819336