ザイリンクス Aurora 64B/66B プロトコル ボード間のデータ転送

インターフェース送信タイミング

フレームインターフェイスのフレーミングモード:

フレームインターフェイスのタイミング

簡単な転送

データ転送が一時停止されました

クロック補償されたデータ送信

クロック補償シーケンスは、ディスプレイ コアがデータ転送を自動的に中断するときに送信されます。データ転送一時停止クロック補償

データ受信

フレーム伝送モードの伝送効率

Aurora 64B/66B コアの伝送効率に影響を与える要因は 2 つあります。

        1. フレームのサイズ。

        2. ギアボックスからのデータ無効化リクエストは、32 user_clk(txusrclk2) サイクルごとに 1 回発生します。

        GTX および GTH トランシーバーのギアボックスは、クロック分周比と 64B/66B エンコーディングを考慮して定期的に一時停止する必要があります。これは AXI4-Stream インターフェイスでのバックプレッシャーとして現れ、(図に示すように) ユーザー データは 32 サイクルごとに 1 サイクル停止する必要があります。Aurora 64B/66B コアからのユーザー インターフェイスの s_axi_tx_tready 信号は、32 サイクルごとに 1 サイクルでアサート解除されます。一時停止期間は、ギアボックスの 64B/66B エンコーディングを補正するために使用されます。

ストリーミングデータインターフェース

ストリーミングインターフェイスのタイミング

送信タイミング

受信タイミング

デバッグ経験

PMA_INIT は同期リセット信号であり、ref_clk が到着してリセットするためにプルダウンする必要があります。差動 ref_clkp/ref_clkn が IBUFDS_GTE2 を通過した後、出力クロック ref_clk が aurora IP コアに提供され、同時に PLL がインスタンス化され、ref_clk が PLL を通過します。 その後、init_clk と drp_clk が生成されて aurora IP コアに提供され、同時に locked 信号が生成され、その後 IP コアのリセット信号として使用されます。反転。これにより、同期リセットが保証されます。

        開発ボードの水晶発振器クロックのプログラミングは、回路図に従って DIP スイッチのハイレベルとローレベルを調整します。「オン」はスイッチがオンになっているという意味であり、1 に設定されているわけではありません。回路図によると、下図の「on」にダイヤルした後、回路とGNDが導通し、この時点でプログラミング用水晶発振器にローレベルが与えられます。

        TX 側では、非同期 FIFO を接続できるため、データ ソースから aurora IP コア tx_data までのクロック ドメインを越える問題が解決されます。

        RXにも非同期FIFOを接続

        検証を実行する場合、lane_up と channel_up はリンク確立とチャネル確立を表し、gt_pll_lock と gt_qpllock_out は PLL ロックを表します。この信号は、デバッグ プロセス中にクロックが入力されているかどうか、周波数が正しいかどうかを検証できます。デバッグの成功の大部分は、入力クロック。

        次の図は、ストリーミング データのシミュレーション図です。tx_tready と tx_tvaild を同時に High にすると、データは効率的に送信されます。rx_tavild が High にすると、RX で受信したデータが有効であることを意味します。

        tx_tready は 256ns ごとにプルダウンされ、clk_user のサイクルは 8ns であり、IP コアが 32 クロック サイクルごとにクロック補償を実行することを示します。

        tx_fifo の入力と rx_fifo の出力の場合、tx_fifo の書き込みクロック レートと rx_fifo の読み取りクロック レートは clk_user より低いため、tx_fifo に書き込むことができるデータの場合、rx_fifo は常にデータから読み取られます。

おすすめ

転載: blog.csdn.net/QUACK_G/article/details/130024741