WM8994寄存器列表(花了点时间整理的,总共800行,寄存器实在太多了)

寄存器地址 寄存器名称  中文名称 bit 标签 默认值 描述 初始值 说明
R0 (00h) Software Reset 软复位 0.625 SW_RESET[15:0] 0x8994 写入该寄存器会复位所有寄存器为默认值;
读取为设备id:0x8994
   
R1 (01h) Power Management(1) 电源管理(1) 13 SPKOUTR_ENA 0 SPKMIXR混合器,SPKRVOL PGA和SPKOUTR输出使能
0 = Disabled
1 = Enabled
   
12 SPKOUTL_ENA 0 SPKMIXL混合机,SPKLVOL PGA和SPKOUTL输出使能
0 = Disabled
1 = Enabled
   
11 HPOUT2_ENA 0 HPOUT2输出使能
0 = Disabled
1 = Enabled
   
9 HPOUT1L_ENA 0 启用HPOUT1L输入
0 = Disabled
1 = Enabled
注意:设置HPOUT1_AUTO_PU时,HPOUT1L_ENA位自动使能左耳机驱动器
   
8 HPOUT1R_ENA 0 启用HPOUT1R输入
0 = Disabled
1 = Enabled
注意:设置HPOUT1_AUTO_PU时,HPOUT1R_ENA位自动使能右耳机驱动器
   
5 MICB2_ENA 0 麦克风偏置2启用
0 = OFF (高阻抗输出)
1 = ON
   
4 MICB1_ENA 0 麦克风偏置1启用
0 = OFF (高阻抗输出)
1 = ON
   
0.084 VMID_SEL[1:0] 0 VMID Divider启用和选择
00 = VMID disabled (关闭模式)
01 = 2 x 40kohm divider (正常)
10 = 2 x 240kohm divider (低功耗待机)
11 = 2 x 5kohm divider (快速启动)
   
0 BIAS_ENA 0 启用正常偏置电流发生器(对于所有模拟功能)
0 = Disabled
1 = Enabled
   
R2 (02h) Power Management (2) 电源管理(2) 14 TSHUT_ENA 1 温度传感器启用
0 = disabled
1 = enabled
   
13 TSHUT_OPDIS 1 热关断控制(如果音频输出发生过热自动关闭,但是温度感器必须被启用TSHUT_ENA=1。)
0 = disabled
1 = enabled
   
11 OPCLK_ENA 0 GPIO时钟输出(OPCLK)使能
0 = Disabled
1 = Enabled
   
9 MIXINL_ENA 0 左输入混音器启用(启用MIXINL和RXVOICE输入到MIXINL)
0 = Disabled
1 = Enabled
   
8 MIXINR_ENA 0 右输入混音器启用(启用MIXINR和RXVOICE输入到MIXINR)
0 = Disabled
1 = Enabled
   
7 IN2L_ENA 0 IN2L输入PGA使能
0 = Disabled
1 = Enabled
   
6 IN1L_ENA 0 IN1L输入PGA使能
0 = Disabled
1 = Enabled
   
5 IN2R_ENA 0 IN2R输入PGA使能
0 = Disabled
1 = Enabled
   
4 IN1R_ENA 0 IN1R输入PGA使能
0 = Disabled
1 = Enabled
   
R3 (03h) Power Management (3) 电源管理(3) 13 LINEOUT1N_ENA 0 LINEOUT1N线路输出和LINEOUT1NMIX使能
0 = Disabled
1 = Enabled
   
12 LINEOUT1P_ENA 0 LINEOUT1P线路输出和LINEOUT1PMIX使能
0 = Disabled
1 = Enabled
   
11 LINEOUT2N_ENA 0 LINEOUT2N线路输出和LINEOUT2NMIX使能
0 = Disabled
1 = Enabled
   
10 LINEOUT2P_ENA 0 LINEOUT2P线路输出和LINEOUT2PMIX使能
0 = Disabled
1 = Enabled
   
9 SPKRVOL_ENA 0 SPKMIXR混合器和SPKRVOL PGA启用
0 = Disabled
1 = Enabled
注意:当设置了SPKOUTR_ENA时,还需要启用SPKMIXR和SPKRVOL。
   
8 SPKLVOL_ENA 0 SPKMIXL混合器和SPKLVOL PGA启用
0 = Disabled
1 = Enabled
注意:设置SPKOUTL_ENA时,还需要启用SPKMIXL和SPKLVOL。
   
7 MIXOUTLVOL_ENA 0 MIXOUTL左音量控制启用
0 = Disabled
1 = Enabled
   
6 MIXOUTRVOL_ENA 0 MIXOUTR右音量控制启用
0 = Disabled
1 = Enabled
   
5 MIXOUTL_ENA 0 MIXOUTL左输出混音器启用
0 = Disabled
1 = Enabled
   
4 MIXOUTR_ENA 0 MIXOUTR右输出混音器启用
0 = Disabled
1 = Enabled
   
R4 (04h) Power Management (4) 电源管理(4) 13 AIF2ADCL_EN
A
0 启用AIF2ADC(左)输出路径
0 = Disabled
1 = Enabled
   
12 AIF2ADCR_ENA 0 启用AIF2ADC(右)输出路径
0 = Disabled
1 = Enabled
   
11 AIF1ADC2L_E
NA
0 启用AIF1ADC2(左)输出路径(AIF1,时隙1)
0 = Disabled
1 = Enabled
   
10 AIF1ADC2R_E
NA
0 启用AIF1ADC2(右)输出路径(AIF1,时隙1)
0 = Disabled
1 = Enabled
   
9 AIF1ADC1L_E
NA
0 启用AIF1ADC1(左)输出路径(AIF1,时隙0)
0 = Disabled
1 = Enabled
   
8 AIF1ADC1R_E
NA
0 启用AIF1ADC1(右)输出路径(AIF1,时隙0)
0 = Disabled
1 = Enabled
   
5 DMIC2L_ENA 0 数字麦克风DMICDAT2左声道启用
0 = Disabled
1 = Enabled
   
4 DMIC2R_ENA 0 数字麦克风DMICDAT2右声道启用
0 = Disabled
1 = Enabled
   
3 DMIC1L_ENA 0 数字麦克风DMICDAT1左声道启用
0 = Disabled
1 = Enabled
   
2 DMIC1R_ENA 0 数字麦克风DMICDAT1右声道启用
0 = Disabled
1 = Enabled
   
1 ADCL_ENA 0 左ADC使能
0 = ADC disabled
1 = ADC enabled
   
0 ADCR_ENA 0 右ADC使能
0 = ADC disabled
1 = ADC enabled
   
R5(05h) Power Management (5) 电源管理(5) 13 AIF2DACL_EN
A
0 启用AIF2DAC(左)输入路径
0 = Disabled
1 = Enabled
   
12 AIF2DACR_EN
A
0 启用AIF2DAC(右)输入路径
0 = Disabled
1 = Enabled
   
11 AIF1DAC2L_E
NA
0 启用AIF1DAC2(左)输入路径(AIF1,时隙1)
0 = Disabled
1 = Enabled
   
10 AIF1DAC2R_E
NA
0 启用AIF1DAC2(右)输入路径(AIF1,时隙1)
0 = Disabled
1 = Enabled
   
9 AIF1DAC1L_E
NA
0 使能AIF1DAC1(左)输入路径(AIF1,时隙0)
0 = Disabled
1 = Enabled
   
8 AIF1DAC1R_E
NA
0 启用AIF1DAC1(右)输入路径(AIF1,时隙0)
0 = Disabled
1 = Enabled
   
3 DAC2L_ENA 0 左DAC2使能
0 = Disabled
1 = Enabled
   
2 DAC2R_ENA 0 右DAC2使能
0 = Disabled
1 = Enabled
   
1 DAC1L_ENA 0 左DAC1使能
0 = Disabled
1 = Enabled
   
0 DAC1R_ENA 0 右DAC1使能
0 = Disabled
1 = Enabled
   
R6 (06h) Power Management (6) 电源管理(6) 5 AIF3_TRIS 0 AIF3音频接口三态
0 = AIF3 接口正常运作
1 = 使所有AIF3接口引脚处于三态
注意,未配置为AIF3功能的引脚不是受此寄存器影响。
   
0.1688 AIF3_ADCDAT
_SRC[1:0]
0 GPIO9/ADCDAT3来源选择
00 = AIF1 ADCDAT1
01 = AIF2 ADCDAT2
10 = GPIO5/DACDAT2
11 = 保留
注意,GPIO9必须配置为ADCDAT3。 对于选择11,GPIO5引脚也必须配置为DACDAT2。
   
2 AIF2_ADCDAT
_SRC
0 GPIO7/ADCDAT2 来源选择
0 = AIF2 ADCDAT2
1 = GPIO8/DACDAT3
注意,GPIO7必须配置为ADCDAT2。 对于选择1,GPIO8引脚也必须配置为DACDAT3。
   
1 AIF2_DACDAT
_SRC
0 AIF2 DACDAT 来源选择
0 = GPIO5/DACDAT2
1 = GPIO8/DACDAT3
注意,所选来源必须配置为DACDAT2或DACDAT3。
   
0 AIF1_DACDAT
_SRC
0 AIF1 DACDAT 来源选择
0 = DACDAT1
1 = GPIO8/DACDAT3
注意,对于选择1,GPIO8引脚必须为配置为DACDAT3。
   
R21 (15h) Input Mixer
(1)
输入混合器(1) 6 INPUTS_CLAMP 0 输入 pad VMID 钳位
0 =钳位停用
1 = 钳位已激活
   
R24 (18h) Left Line
Input 1&2
Volume
左线输入1&2音量 8 IN1_VU 0 输入PGA音量更新,向该位写1将导致IN1L和IN1R输入PGA音量同时更新    
7 IN1L_MUTE 0 IN1L PGA静音
0 = 禁用静音
1 = 启用静音
   
6 IN1L_ZC 0 IN1L PGA零交叉检测器(过零检测)
0 = 立即更改增益
1 = 仅在零交叉时更改增益
   
4:0 IN1L_VOL[4:0] 0_1011 IN1L音量
00000 = -16.5dB
00001 = -15dB
...
11110 = +28.5dB
11111 = +30dB
   
R25 (19h) Left Line
Input 3&4
Volume
左线输入3&4音量 8 N2_VU 0 输入PGA音量更新,向该位写入1将导致IN2L和IN2R输入PGA音量同时更新    
7 IN2L_MUTE 0 IN2L PGA静音
0 = 禁用静音
1 = 启用静音
   
6 IN2L_ZC 0 IN2L PGA零交叉检测器(过零检测)
0 = 立即更改增益
1 = 仅在零交叉时更改增益
   
4:0 IN2L_VOL[4:0] 0_1011 IN2L 音量
00000 = -16.5dB
00001 = -15dB
...
11110 = +28.5dB
11111 = +30dB
   
R26 (1Ah) Right Line
Input 1&2
Volume
右线输入1&2音量 8 IN1_VU 0 输入PGA音量更新,向该位写1将导致IN1L和IN1R输入PGA音量同时更新    
7 IN1R_MUTE 1 IN1R PGA静音
0 = 禁用静音
1 = 启用静音
   
6 IN1R_ZC 0 IN1R  PGA零交叉检测器(过零检测)
0 = 立即更改增益
1 = 仅在零交叉时更改增益
   
4:0 IN1R_VOL[4:0] 0_1011 IN1R 音量
00000 = -16.5dB
00001 = -15dB
...
11110 = +28.5dB
11111 = +30dB
   
R27 (1Bh) Right Line
Input 3&4
Volume
右线输入3&4音量 8 IN2_VU 0 输入PGA音量更新,向该位写入1将导致IN2L和IN2R输入PGA音量同时更新    
7 IN2R_MUTE 1 IN2R PGA Mute
0 = 禁用静音
1 = 启用静音
   
6 IN2R_ZC 0 IN2R PGA零交叉检测器(过零检测)
0 = 立即更改增益
1 = 仅在零交叉时更改增益
   
4:0 IN2R_VOL[4:0] 0_1011 IN2R Volume
00000 = -16.5dB
00001 = -15dB
...
11110 = +28.5dB
11111 = +30dB
   
R28 (1Ch) Left Output
 Volume
左输出音量 8 HPOUT1_VU 0 耳机输出PGA音量更新,向该位写入1将同时更新HPOUT1LVOL和HPOUT1RVOL。    
7 HPOUT1L_ZC 0 HPOUT1LVOL(左耳机输出PGA)零交叉启用(过零检测)
0 = 零交叉禁用
1 = 启用零交叉
   
6 HPOUT1L_MU
TE_N
1 HPOUT1LVOL (Left Headphone Output PGA) Mute
0 = Mute
1 = Un-mute
   
5:0 HPOUT1L_VO
L[5:0]
10_1101 HPOUT1LVOL(左耳机输出PGA)音量-57dB至6dB(以1dB为步长)
00_0000 = -57dB
00_0001 = -56dB
… (1dB 步长)
11_1111 = +6dB
   
R29 (1Dh) Right Output
Volume
右输出音量 8 HPOUT1_VU 0 耳机输出PGA音量更新,向该位写入1将同时更新HPOUT1LVOL和HPOUT1RVOL。    
7 HPOUT1R_ZC 0 HPOUT1RVOL(右耳机输出PGA)过零启用
0 = 零交叉禁用
1 = 启用零交叉
   
6 HPOUT1R_MU
TE_N
1 HPOUT1RVOL(右耳机输出PGA)静音
0 = 静音
1 =取消静音
   
5:0 HPOUT1R_VO
L[5:0]
10_1101 HPOUT1RVOL(右耳机输出 PGA)音量
-57dB 至 6dB,以 1dB 步长
00_0000 = -57dB
00_0001 = -56dB
… (1dB 步长)
11_1111 = +6dB
   
R30 (1Eh) Line Outputs
 Volume
线输出音量 6 LINEOUT1N_M
UTE
1 LINEOUT1N 线路输出静音
0 = 取消静音
1 = 静音
   
5 LINEOUT1P_M
UTE
1 LINEOUT1P 线路输出静音
0 = 取消静音
1 = 静音
   
4 LINEOUT1_VO
L
0 LINEOUT1 线路输出音量
0 = 0dB
1 = -6dB
适用于 LINEOUT1N 和 LINEOUT1P
   
2 LINEOUT2N_M
UTE
1 LINEOUT2N 线路输出静音
0 = 取消静音
1 = 静音
   
1 LINEOUT2P_M
UTE
1 LINEOUT2P 线路输出静音
0 = 取消静音
1 = 静音
   
0 LINEOUT2_VO
L
0 LINEOUT2 线路输出音量
0 = 0dB
1 = -6dB
适用于 LINEOUT2N 和 LINEOUT2P
   
R31 (1Fh) HPOUT2
Volume
耳机2音量 5 HPOUT2_MUT
E
1 HPOUT2(耳机驱动器)静音
0 = 取消静音
1 = 静音
   
4 HPOUT2_VOL 0 HPOUT2(耳机驱动器)音量
0 = 0dB
1 = -6dB
   
R32 (20h) Left OPGA
Volume
左混合器输出PGA音量 8 MIXOUT_VU 0 混合器输出 PGA 音量更新,向此位写入 1 将同时更新 MIXOUTLVOL 和MIXOUTRVOL 音量。    
7 MIXOUTL_ZC 0 MIXOUTLVOL(左混合器输出PGA)零交叉
使
0 = 禁用零交叉
1 = 启用零交叉
   
6 MIXOUTL_MU
TE_N
1 MIXOUTLVOL(左混合器输出PGA)静音
0 = 静音
1 = 取消静音
   
5:0 MIXOUTL_VOL
[5:0]
11_1001 MIXOUTLVOL(左混合器输出PGA)音量
-57dB 至 6dB,以 1dB 步长
00_0000 = -57dB
00_0001 = -56dB
… (1dB 步长)
11_1111 = +6dB
   
R33 (21h) Right OPGA
Volume
右混合器输出PGA音量 8 MIXOUT_VU 0 混合器输出 PGA 音量更新,向此位写入 1 将同时更新 MIXOUTLVOL 和MIXOUTRVOL 音量。    
7 MIXOUTR_ZC 0 MIXOUTRVOL(右混合器输出PGA)零交叉
使
0 = 禁用零交叉
1 = 启用零交叉
   
6 MIXOUTR_MU
TE_N
1 MIXOUTLVOL(右混合器输出PGA)静音
0 = 静音
1 = 取消静音
   
5:0 MIXOUTR_VO
L[5:0]
11_1001 MIXOUTRVOL(右混合器输出PGA)音量
-57dB 至 6dB,以 1dB 步长
00_0000 = -57dB
00_0001 = -56dB
… (1dB 步长)
11_1111 = +6dB
   
R34 (22h) SPKMIXL
 Attenuation
左扬声器衰减 6 DAC2L_SPKMI
XL_VOL
0 左 DAC2 到 SPKMIXL 精细音量控制
0 = 0dB
1 = -3dB
   
5 MIXINL_SPKMI
XL_VOL
0 MIXINL(左ADC旁路)至SPKMIXL精细音量控制
0 = 0dB
1 = -3dB
   
4 IN1LP_SPKMI
XL_VOL
0 IN1LP 到 SPKMIXL 精细音量控制
0 = 0dB
1 = -3dB
   
3 MIXOUTL_SPK
MIXL_VOL
0 左混合器输出到 SPKMIXL 精细音量控制
0 = 0dB
1 = -3dB
   
2 DAC1L_SPKMI
XL_VOL
0 左 DAC1 到 SPKMIXL 精细音量控制
0 = 0dB
1 = -3dB
   
1:0 SPKMIXL_VOL
[1:0]
11 左扬声器混音器音量控制
00 = 0dB
01 = -6dB
10 = -12dB
11 = mute
   
R35 (23h) SPKMIXR
Attenuation
右扬声器衰减 8 SPKOUT_CLA
SSAB
0 扬声器类 AB 模式启用
0 = D 类模式
1 = 类 AB 模式
   
6 DAC2R_SPKM
IXR_VOL
0 右 DAC2 到 SPKMIXR 精细音量控制
0 = 0dB
1 = -3dB
   
5 MIXINR_SPKM
IXR_VOL
0 MIXINR(右ADC旁路)至SPKMIXR精细音量控制
0 = 0dB
1 = -3dB
   
4 IN1RP_SPKMI
XR_VOL
0 IN1RP 到 SPKMIXR 精细音量控制
0 = 0dB
1 = -3dB
   
3 MIXOUTR_SP
KMIXR_VOL
0 右混合器输出到 SPKMIXR 精细音量控制
0 = 0dB
1 = -3dB
   
2 DAC1R_SPKM
IXR_VOL
0 右 DAC1 到 SPKMIXR 精细音量控制
0 = 0dB
1 = -3dB
   
1:0 SPKMIXR_VO
L[1:0]
11 右扬声器混音器音量控制
00 = 0dB
01 = -6dB
10 = -12dB
11 = mute
   
R36 (24h) SPKOUT
Mixers
扬声器混音 5 IN2LP_TO_SP
KOUTL
0 差分输入(IN2RP\/IN2LP)至左扬声器静音
0 = 静音
1 = 取消静音
   
4 SPKMIXL_TO_
SPKOUTL
1 SPKMIXL 左扬声器混音器到左扬声器静音
0 = 静音
1 = 取消静音
   
3 SPKMIXR_TO_
SPKOUTL
0 SPKMIXR 右扬声器混音器到左扬声器静音
0 = 静音
1 = 取消静音
   
2 IN2LP_TO_SP
KOUTR
0 差分输入(IN2RP\/IN2LP)至右扬声器静音
0 = 静音
1 = 取消静音
   
1 SPKMIXL_TO_
SPKOUTR
0 SPKMIXL 左扬声器混音器到右侧扬声器静音
0 = 静音
1 = 取消静音
   
0 SPKMIXR_TO_
SPKOUTR
1 SPKMIXR 右扬声器混合器到右扬声器静音
0 = 静音
1 = 取消静音
   
R37 (25h) ClassD 扬声器增益 5:3 SPKOUTL_BO
OST[2:0]
0000 左扬声器增益提升
000 = 1.00x boost (+0dB)
001 = 1.19x boost (+1.5dB)
010 = 1.41x boost (+3.0dB)
011 = 1.68x boost (+4.5dB)
100 = 2.00x boost (+6.0dB)
101 = 2.37x boost (+7.5dB)
110 = 2.81x boost (+9.0dB)
111 = 3.98x boost (+12.0dB)
   
2:0 SPKOUTR_BO
OST[2:0]
0000 右扬声器增益提升
000 = 1.00x boost (+0dB)
001 = 1.19x boost (+1.5dB)
010 = 1.41x boost (+3.0dB)
011 = 1.68x boost (+4.5dB)
100 = 2.00x boost (+6.0dB)
101 = 2.37x boost (+7.5dB)
110 = 2.81x boost (+9.0dB)
111 = 3.98x boost (+12.0dB)
   
R38 (26h) Speaker
Volume Left
左扬声器音量 8 SPKOUT_VU 0 扬声器输出 PGA 音量更新,向此位写入 1 将同时更新 SPKLVOL 和SPKRVOL 音量。    
7 SPKOUTL_ZC 0 SPKLVOL(左扬声器输出 PGA)零交叉使能
0 = 禁用零交叉
1 = 启用零交叉
   
6 SPKOUTL_MU
TE_N
1 SPKLVOL(左扬声器输出 PGA)静音
0 = 静音
1 = 取消静音
   
5:0 SPKOUTL_VO
L[5:0]
11_1001 SPKLVOL(左扬声器输出 PGA)音量
-57dB 至 6dB,以 1dB 步长
00_0000 = -57dB
00_0001 = -56dB
… (1dB 步长)
11_1111 = +6dB
   
R39 (27h) Speaker
Volume
Right
右扬声器音量 8 SPKOUT_VU 0 扬声器 PGA 音量更新,向此位写入 1 将同时更新 SPKLVOL 和SPKRVOL 音量。    
7 SPKOUTR_ZC 0 SPKRVOL(右扬声器输出 PGA)零交叉使能
0 = 禁用零交叉
1 = 启用零交叉
   
6 SPKOUTR_MU
TE_N
1 SPKRVOL(右扬声器输出 PGA)静音
0 = 静音
1 = 取消静音
   
5:0 SPKOUTR_VO
L[5:0]
11_1001 SPKRVOL(右扬声器输出 PGA)音量
-57dB 至 6dB,以 1dB 步长
00_0000 = -57dB
00_0001 = -56dB
… (1dB 步长)
11_1111 = +6dB
   
R40 (28h) Input Mixer
(2)
输入混音2 7 IN2LP_TO_IN2
L
0 IN2L PGA 非反转输入选择
0 = 连接到 VMID
1 = 连接到 IN2LP
   
6 IN2LN_TO_IN2
L
0 IN2L PGA 反转输入选择
0 = 未连接
1 = 连接到 IN2LN
   
5 IN1LP_TO_IN1
L
0 IN1L PGA 非反转输入选择
0 = 连接到 VMID
1 = 连接到 IN1LP
   
4 IN1LN_TO_IN1
L
0 IN1L PGA 反转输入选择
0 = 未连接
1 = 连接到 IN1LN
   
3 IN2RP_TO_IN2
R
0 IN2R PGA 非反转输入选择
0 = 连接到 VMID
1 = 连接到 IN2RP
   
2 IN2RN_TO_IN
2R
0 IN2R PGA 反转输入选择
0 = 未连接
1 = 连接到 IN2RN
   
1 IN1RP_TO_IN1
R
0 IN1R PGA 非反转输入选择
0 = 连接到 VMID
1 = 连接到 IN1RP
   
0 IN1RN_TO_IN
1R
0 IN1R PGA 反转输入选择
0 = 未连接
1 = 连接到 IN1RN
   
R41 (29h) Input Mixer
(3)
输入混音3 8 IN2L_TO_MIXI
NL
0 IN2L PGA 输出至 MIXINL 静音
0 = 静音
1 = 取消静音
   
7 IN2L_MIXINL_
VOL
0 IN2L PGA 输出至 MIXINL 增益
0 = 0dB
1 = +30dB
   
5 IN1L_TO_MIXI
NL
0 IN1L PGA 输出至 MIXINL 静音
0 = 静音
1 = 取消静音
   
4 IN1L_MIXINL_
VOL
0 IN1L PGA 输出至 MIXINL 增益
0 = 0dB
1 = +30dB
   
2:0 MIXOUTL_MIXI
NL_VOL[2:0]
000 记录路径 MIXOUTL 到 MIXINL 增益和静音
000 = 静音
001 = -12dB
010 = -9dB
011 = -6dB
100 = -3dB
101 = 0dB
110 = +3dB
111 = +6dB
   
R42 (2Ah) Input Mixer
(4)
输入混音4 8 IN2R_TO_MIXI
NR
0 IN2R PGA 输出至 MIXINR 静音
0 = 静音
1 = 取消静音
   
7 IN2R_MIXINR_
VOL
0 IN2R PGA 输出到 MIXINR 增益
0 = 0dB
1 = +30dB
   
5 IN1R_TO_MIXI
NR
0 IN1R PGA 输出至 MIXINR 静音
0 = 静音
1 = 取消静音
   
4 IN1R_MIXINR_
VOL
0 IN1R PGA 输出到 MIXINR 增益
0 = 0dB
1 = +30dB
   
2:0 MIXOUTR_MIX
INR_VOL[2:0]
000 记录路径 MIXOUTR 到 MIXINR 增益和静音
000 = 静音
001 = -12dB
010 = -9dB
011 = -6dB
100 = -3dB
101 = 0dB
110 = +3dB
111 = +6dB
   
R43 (2Bh) Input Mixer
(5)
输入混音5 8:6 IN1LP_MIXINL
_VOL[2:0]
000 IN1LP 引脚(PGA 旁路)至 MIXINL 增益和静音
000 = 静音
001 = -12dB
010 = -9dB
011 = -6dB
100 = -3dB
101 = 0dB
110 = +3dB
111 = +6dB
   
2:0 IN2LP_MIXINL
_VOL[2:0]
000 RXVOICE (VRXN\/VRXP) 差分输入到 MIXINL增益和静音
000 = 静音
001 = -12dB
010 = -9dB
011 = -6dB
100 = -3dB
101 = 0dB
110 = +3dB
111 = +6dB
   
R44 (2Ch) Input Mixer
(6)
输入混音6 8:6 IN1RP_MIXINR
_VOL[2:0]
000 IN1RP 引脚(PGA 旁路)至 MIXINR 增益和静音
000 = 静音
001 = -12dB
010 = -9dB
011 = -6dB
100 = -3dB
101 = 0dB
110 = +3dB
111 = +6dB
   
2:0 IN2LP_MIXINR
_VOL[2:0]
000 RXVOICE (VRXN\/VRXP) 差分输入到 MIXINR增益和静音
000 = 静音
001 = -12dB
010 = -9dB
011 = -6dB
100 = -3dB
101 = 0dB
110 = +3dB
111 = +6dB
   
R45 (2Dh) Output Mixer
(1)
输出混音1 8 DAC1L_TO_H
POUT1L
0 HPOUT1LVOL(左耳机输出 PGA)输入选择
0 = MIXOUTL
1 = DAC1L
   
7 MIXINR_TO_M
IXOUTL
0 MIXINR 输出(右 ADC 旁路)到 MIXOUTL 静音
0 = 静音
1 = 取消静音
   
6 MIXINL_TO_MI
XOUTL
0 MIXINL 输出(左 ADC 旁路)到 MIXOUTL 静音
0 = 静音
1 = 取消静音
   
5 IN2RN_TO_MI
XOUTL
0 IN2RN 到 MIXOUTL 静音
0 = 静音
1 = 取消静音
   
4 IN2LN_TO_MI
XOUTL
0 IN2LN 至 MIXOUTL 静音
0 = 静音
1 = 取消静音
   
3 IN1R_TO_MIX
OUTL
0 IN1R PGA 输出至 MIXOUTL 静音
0 = 静音
1 = 取消静音
   
2 IN1L_TO_MIX
OUTL
0 IN1L PGA 输出至 MIXOUTL 静音
0 = 静音
1 = 取消静音
   
1 IN2LP_TO_MI
XOUTL
0 IN2LP 到 MIXOUTL 静音
0 = 静音
1 = 取消静音
   
0 DAC1L_TO_MI
XOUTL
0 左 DAC1 到 MIXOUTL 静音
0 = 静音
1 = 取消静音
   
R46 (2Eh) Output Mixer
(2)
输出混音2 8 DAC1R_TO_H
POUT1R
0 HPOUT1RVOL(右耳机输出 PGA)输入选择
0 = MIXOUTR
1 = DAC1R
   
7 MIXINL_TO_MI
XOUTR
0 MIXINL 输出(左 ADC 旁路)到 MIXOUTR 静音
0 = 静音
1 = 取消静音
   
6 MIXINR_TO_M
IXOUTR
0 MIXINR 输出(右 ADC 旁路)到 MIXOUTR 静音
0 = 静音
1 = 取消静音
   
5 IN2LN_TO_MI
XOUTR
0 IN2LN 至 MIXOUTR 静音
0 = 静音
1 = 取消静音
   
4 IN2RN_TO_MI
XOUTR
0 IN2RN 到 MIXOUTR 静音
0 = 静音
1 = 取消静音
   
3 IN1L_TO_MIX
OUTR
0 IN1L PGA 输出至 MIXOUTR 静音
0 = 静音
1 = 取消静音
   
2 IN1R_TO_MIX
OUTR
0 IN1R PGA 输出至 MIXOUTR 静音
0 = 静音
1 = 取消静音
   
1 IN2RP_TO_MI
XOUTR
0 IN2RP 到 MIXOUTR 静音
0 = 静音
1 = 取消静音
   
0 DAC1R_TO_MI
XOUTR
0 右侧 DAC1 到 MIXOUTR 静音
0 = 静音
1 = 取消静音
   
R47 (2Fh) Output Mixer
(3)
输出混音3 11:9 IN2LP_MIXOU
TL_VOL[2:0]
000 IN2LP 到 MIXOUTL 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
   
8:6 IN2LN_MIXOU
TL_VOL[2:0]
000 IN2LN 到 MIXOUTL 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
   
5:3 IN1R_MIXOUT
L_VOL[2:0]
000 IN1R PGA 输出到 MIXOUTL 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
   
2:0 IN1L_MIXOUT
L_VOL[2:0]
000 IN1L PGA 输出到 MIXOUTL 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
   
R48 (30h) Output Mixer
(4)
输出混音4 11:9 IN2RP_MIXOU
TR_VOL[2:0]
000 IN2RP to MIXOUTR Volume
0dB to -21dB in 3dB steps
000 = 0dB
001 = -3dB
…(3dB steps)
111 = -21dB
   
8:6 IN2RN_MIXOU
TR_VOL[2:0]
000 IN2RN to MIXOUTR Volume
0dB to -21dB in 3dB steps
000 = 0dB
001 = -3dB
…(3dB steps)
111 = -21dB
   
5:3 IN1L_MIXOUT
R_VOL[2:0]
000 IN1L PGA 输出到 MIXOUTR 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
   
2:0 IN1R_MIXOUT
R_VOL[2:0]
000 IN1R PGA 输出到 MIXOUTR 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
   
R49 (31h) Output Mixer
(5)
输出混音5 11:9 DACL_MIXOU
TL_VOL[2:0]
000 左 DAC1 到 MIXOUTL 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
   
8:6 IN2RN_MIXOU
TL_VOL[2:0]
000 IN2RN 到 MIXOUTL 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
   
5:3 MIXINR_MIXO
UTL_VOL[2:0]
000 MIXINR 输出(右 ADC 旁路)到 MIXOUTL 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
   
2:0 MIXINL_MIXO
UTL_VOL[2:0]
000 MIXINL 输出(左 ADC 旁路)到 MIXOUTL 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
   
R50 (32h) Output Mixer
(6)
输出混音6 11:9 DACR_MIXOU
TR_VOL[2:0]
000 右侧 DAC1 到 MIXOUTR 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
   
8:6 IN2LN_MIXOU
TR_VOL[2:0]
000 IN2LN 到 MIXOUTR 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB steps)
111 = -21dB
   
5:3 MIXINL_MIXO
UTR_VOL[2:0]
000 MIXINL 输出(左 ADC 旁路)到 MIXOUTR 音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
   
2:0 MIXINR_MIXO
UTR_VOL[2:0]
000 MIXINR 输出(右 ADC 旁路)到 MIXOUTR音量
0dB 至 -21dB,以 3dB 步长
000 = 0dB
001 = -3dB
…(3dB 步长)
111 = -21dB
   
R51 (33h) HPOUT2
Mixer
耳机混音 5 IN2LRP_TO_H
POUT2
0 差分输入(IN2RP\/IN2LP)到耳机驱动器
0 = 静音
1 = 取消静音
   
4 MIXOUTLVOL_
TO_HPOUT2
0 MIXOUTLVOL(左侧输出混合器 PGA)到耳机设备
0 = 静音
1 = 取消静音
   
3 MIXOUTRVOL
_TO_HPOUT2
0 MIXOUTRVOL(右输出混合器PGA)到耳机设备
0 = 静音
1 = 取消静音
   
R52 (34h) Line Mixer
(1)
线混音1 6 MIXOUTL_TO_
LINEOUT1N
0 在 LINEOUT1N 上到单端线路输出
0 = 静音
1 = 取消静音
(LINEOUT1_MODE = 1)
   
5 MIXOUTR_TO
_LINEOUT1N
0 MIXOUTR 到单端线路输出到LINEOUT1N
0 = 静音
1 = 取消静音
(LINEOUT1_MODE = 1)
   
4 LINEOUT1_M
ODE
0 LINEOUT1 模式选择
0 = 差分
1 = 单端
   
2 IN1R_TO_LINE
OUT1P
0 IN1R 输入 PGA 到差分线输出到LINEOUT1
0 = 静音
1 = 取消静音
(LINEOUT1_MODE = 0)
   
1 IN1L_TO_LINE
OUT1P
0 IN1L 输入 PGA 到差分线输出到LINEOUT1
0 = 静音
1 = 取消静音
(LINEOUT1_MODE = 0)
   
0 MIXOUTL_TO_
LINEOUT1P
0 差分模式 (LINEOUT1_MODE = 0):
LINEOUT1到差分输出的 MIXOUTL
0 = 静音
1 = 取消静音
单端模式(LINEOUT1_MODE = 1):
在 LINEOUT1P 上到单端线路输出
0 = 静音
1 = 取消静音
   
R53 (35h) Line Mixer
(2)
线混音2 6 MIXOUTR_TO
_LINEOUT2N
0 MIXOUTR 到单端线路输出到LINEOUT2N
0 = 静音
1 = 取消静音
(LINEOUT2_MODE = 1)
   
5 MIXOUTL_TO_
LINEOUT2N
0 在 LINEOUT2N 上到单端线路输出
0 = 静音
1 = 取消静音
(LINEOUT2_MODE = 1)
   
4 LINEOUT2_M
ODE
0 LINEOUT2 模式选择
0 = 差分
1 = 单端
   
2 IN1L_TO_LINE
OUT2P
0 IN1L 输入 PGA 到差分线输出到LINEOUT2
0 = 静音
1 = 取消静音
(LINEOUT2_MODE = 0)
   
1 IN1R_TO_LINE
OUT2P
0 IN1R 输入 PGA 到差分线输出到INEOUT2
0 = 静音
1 = 取消静音
(LINEOUT2_MODE = 0)
   
0 MIXOUTR_TO
_LINEOUT2P
0 差分模式 (LINEOUT2_MODE = 0):
在 LINEOUT2 上到差分输出的 MIXOUTR
0 = 静音
1 = 取消静音
单端模式 (LINEOUT2_MODE = 0):
在 LINEOUT2P 上到单端线路输出
0 = 静音
1 = 取消静音
   
R54 (36h) Speaker
Mixer
扬声器混音 9 DAC2L_TO_S
PKMIXL
0 左 DAC2 到 SPKMIXL 静音
0 = 静音
1 = 取消静音
   
8 DAC2R_TO_S
PKMIXR
0 右侧 DAC2 到 SPKMIXR 静音
0 = 静音
1 = 取消静音
   
7 MIXINL_TO_S
PKMIXL
0 MIXINL(左ADC旁路)至SPKMIXL静音
0 = 静音
1 = 取消静音
   
6 MIXINR_TO_S
PKMIXR
0 MIXINR(右ADC旁路)至SPKMIXR静音
0 = 静音
1 = 取消静音
   
5 IN1LP_TO_SP
KMIXL
0 IN1LP 到 SPKMIXL 静音
0 = 静音
1 = 取消静音
   
4 IN1RP_TO_SP
KMIXR
0 IN1RP 到 SPKMIXR 静音
0 = 静音
1 = 取消静音
   
3 MIXOUTL_TO_
SPKMIXL
0 左侧混音器输出到 SPKMIXL 静音
0 = 静音
1 = 取消静音
   
2 MIXOUTR_TO
_SPKMIXR
0 右混合器输出到 SPKMIXR 静音
0 = 静音
1 = 取消静音
   
1 DAC1L_TO_S
PKMIXL
0 左 DAC1 到 SPKMIXL 静音
0 = 静音
1 = 取消静音
   
0 DAC1R_TO_S
PKMIXR
0 右DAC1至SPKMIXR静音
0 =静音
1 =取消静音
   
R55 (37h) Additional Control 附加控制 7 LINEOUT1_FB 0 在LINEOUT1上启用接地环路噪声反馈
0 =禁用
1 =启用
   
6 LINEOUT2_FB 0 在LINEOUT2上启用接地环路噪声反馈
0 =禁用
1 =启用
   
0 VROI 0 缓冲 VMID 到模拟线路输出电阻
(禁用输出)
0 = 20kohm 从缓冲 VMID 到输出
1 = 500ohm 从缓冲 VMID 到输出
   
R56 (38h) AntiPOP (1)   7 LINEOUT_VMI
D_BUF_ENA
0 启用单线输出的 VMID 参考模式
0 = 已禁用
1 = 已启用
   
6 HPOUT2_IN_E
NA
0 HPOUT2MIX 混合器和输入级启用
0 = 已禁用
1 = 已启用
   
5 LINEOUT1_DI
SCH
0 LINEOUT1P 和 LINEOUT1N 输出通过约 4k 电阻放电
0 = 未激活
1 = 主动放电LINEOUT1P和LINEOUT1N 
   
4 LINEOUT2_DI
SCH
0 LINEOUT2P和LINEOUT2N输出通过约4k电阻放电
0 =无效
1 =主动放电LINEOUT2P和LINEOUT2N
   
R57 (39h) AntiPOP (2)   6:5 VMID_RAMP[1
:0]
00 VMID软启动启用/转换速率控制
00 =正常缓慢启动
01 =正常快速启动
10 =缓慢启动
11 =软快速启动
   
3 VMID_BUF_EN
A
0 VMID缓冲区启用
0 =禁用
1 =启用
   
2 STARTUP_BIA
S_ENA
0 使能启动偏置电流发生器
0 =禁用
1 =启用
   
1 BIAS_SRC 0 选择偏置电流源
0 =正偏
1 =启动偏差
   
0 VMID_DISCH 0 将VMID接地
0 =禁用
1 =启用
   
R58 (3Ah) MICBIAS 麦克风偏置 7:6 MICD_SCTHR[
1:0]
00 MICBIAS短路电流阈值
00 = 300uA
01 = 600uA
10 = 1200uA
11 = 2400uA
这些值适用于AVDD1 = 3.0V和刻度与AVDD1成比例。
   
5:3 MICD_THR[2:0
]
000 MICBIAS电流检测阈值
00 = 150uA
01 = 300uA
10 = 600uA
11 = 1200uA
这些值适用于AVDD1 = 3.0V和刻度与AVDD1成比例。
   
2 MICD_ENA 0 MICBIAS电流检测/短路阈值使能
0 =禁用
1 =启用
   
1 MICB2_LVL 0 麦克风偏置2电压控制
0 = 0.9 * AVDD1
1 = 0.65 * AVDD1
   
0 MICB1_LVL 0 麦克风偏置1电压控制
0 = 0.9 * AVDD1
1 = 0.65 * AVDD1
   
R59 (3Bh) LDO 1 LDO1设置 3:1 LDO1_VSEL[2:
0]
110 LDO1 输出电压选择
2.4V 至 3.1V(100mV 步长)
000 = 2.4V
001 = 2.5V
010 = 2.6V
011 = 2.7V
100 = 2.8V
101 = 2.9V
110 = 3.0V
111 = 3.1V
   
0 LDO1_DISCH 1 LDO1 放电选择
0 = 禁用时 LDO1浮空
1 = 禁用时LDO1放电
   
R60 (3Ch) LDO 2 LDO2设置 2:1 LDO2_VSEL[1:
0]
01 LDO2 输出电压选择
0.9V 至 1.2V,100mV 步长
00 = 0.9V
01 = 1.0V
10 = 1.1V
11 = 1.2V
   
0 LDO2_DISCH 1 LDO2 放电选择
0 = 禁用时 LDO2 浮空
1 = 禁用时 LDO2 放电
   
R76 (4Ch) Charge
Pump (1)
  15 CP_ENA 0 启用数字充电泵
0 = 禁用
1 = 启用
   
R81 (51h) Class W (1)   9:8 CP_DYN_SRC
_SEL[1:0]
00 选择用于包络线跟踪的数字音频源
00 = AIF1,DAC 时隙 0
01 = AIF1,DAC 时隙 1
10 = AIF2,DAC 数据
11 = 保留
   
0 CP_DYN_PWR 0 启用动态电荷泵功率控制
0 = 由音量寄存器设置控制的充电泵
1 = 由实时音频电平控制的充电泵
   
R84 (54h) DC Servo
(1)
直流伺服1 13 DCS_TRIG_SI
NGLE_1
0 将 1 写入此位将选择单个直流偏移校正适用于 HPOUT1L。
在回读中,值为 1 表示直流伺服单次更正正在进行中。
   
12 DCS_TRIG_SI
NGLE_0
0 将 1 写入此位将选择单个直流偏移校正用于 HPOUT1R。
在回读中,值为 1 表示直流伺服单次更正正在进行中。
   
9 DCS_TRIG_SE
RIES_1
0 将 1 写入此位将选择一系列直流偏移HPOUT1L 的修正。
在回读中,值为 1 表示直流伺服DAC 写入更正正在进行中。
   
8 DCS_TRIG_SE
RIES_0
0 将 1 写入此位将选择一系列直流偏移HPOUT1R 的修正。
在回读中,值为 1 表示直流伺服DAC 写入更正正在进行中。
   
5 DCS_TRIG_ST
ARTUP_1
0 写入此位将选择启动 DC 伺服模式HPOUT1L.
在回读中,值为 1 表示直流伺服启动更正正在进行中。
   
4 DCS_TRIG_ST
ARTUP_0
0 写入此位将选择启动 DC 伺服模式HPOUT1R.
在回读中,值为 1 表示直流伺服启动更正正在进行中。
   
3 DCS_TRIG_DA
C_WR_1
0 写入 1 到此位选择 DAC 写入直流伺服模式适用于 HPOUT1L。
在回读中,值为 1 表示直流伺服DAC 写入更正正在进行中。
   
2 DCS_TRIG_DA
C_WR_0
0 写入 1 到此位选择 DAC 写入直流伺服模式用于 HPOUT1R。
在回读中,值为 1 表示直流伺服DAC 写入更正正在进行中。
   
1 DCS_ENA_CH
AN_1
0 适用于 HPOUT1L 的直流伺服功能
0 = 已禁用
1 = 已启用
   
0 DCS_ENA_CH
AN_0
0 适用于 HPOUT1R 的直流伺服功能
0 = 已禁用
1 = 已启用
   
R85 (55h) DC Servo
(2)
直流伺服2 11:5 DCS_SERIES_
NO_01[6:0]
010_1010 要在系列中执行的 DC 伺服更新数事件。
0 = 1 个更新
1 = 2 个更新
...
127 = 128 个更新
   
3:0 DCS_TIMER_P
ERIOD_01[3:0]
1010 定期更新时间间隔。时间计算为0.251s x (2^PERIOD)
0000 = 关闭
0001 = 0.502s
1010 = 257s (4min 17s)
1111 = 8224s (2hr 17ms)
   
R87 (57h) DC Servo
(4)
直流伺服4 15:8 DCS_DAC_W
R_VAL_1[7:0]
0000_0000 DAC 写入直流伺服中 HPOUT1L 的直流偏移值模式。
两个的补充格式。
LSB 为 0.25mV。
范围为 ±32mV
   
7:0 DCS_DAC_W
R_VAL_0[7:0]
0000_0000 DAC 写入直流伺服中 HPOUT1R 的直流偏移值模式。
两个的补充格式。
LSB 为 0.25mV。
范围为 ±32mV
   
R88 (58h) DC Servo
Readback
直流伺服状态 9:8 DCS_CAL_CO
MPLETE[1:0]
00 直流伺服完成状态
00 = DAC 写入或启动直流伺服模式未完成。
01 = DAC 写入或启动直流伺服模式完成,仅在 HPOUT1R 上。
10 = DAC 写入或启动直流伺服模式完成,仅在 HPOUT1L 上。
11 = DAC 写入或启动直流伺服模式完成,在 HPOUT1L 和 HPOUT1R 上。
   
5:4 DCS_DAC_W
R_COMPLETE
[1:0]
00 直流伺服 DAC 写入状态
00 = DAC 写入直流伺服模式未完成。
01 = DAC 写入直流伺服模式完成,仅限 HPOUT1R。
10 = DAC 写入直流伺服模式完成,仅限 HPOUT1L。
11 = DAC 写入直流伺服模式完成,HPOUT1L 和 HPOUT1R。
   
1:0 DCS_STARTU
P_COMPLETE[
1:0]
00 直流伺服启动状态
00 = 启动直流伺服模式未完成。
01 = 只在 HPOUT1R 上完成启动直流伺服模式。
10 = 只在 HPOUT1L 上完成启动直流伺服模式。
11 = 在 HPOUT1L 和 HPOUT1R上完成启动直流伺服模式。
   
R91 (5Bh) DC Servo
ANA (1)
直流伺服数据状态1 7:0 DCS_IDAC_VA
L_1[7:0]
0000_0000 HPOUT1L 的回读值。
两个的补充格式。
LSB 为 0.25mV。
范围为 ±32mV
   
R92 (5Ch) DC Servo
ANA (2)
直流伺服数据状态2 7:0 DCS_IDAC_VA
L_0[7:0]
0000_0000 HPOUT1R 的回读值。
两个的补充格式。
LSB 为 0.25mV。
范围为 ±32mV
   
R96 (60h) Analogue
HP (1)
模拟耳机设置 7 HPOUT1L_RM
V_SHORT
0 移除 HPOUT1L 短路
0 = HPOUT1L 短路启用
1 = HPOUT1L 短路移除
注意:在输出阶段结束后删除短路启用。
   
6 HPOUT1L_OU
TP
0 HPOUT1L 输出级启用
0 = 已禁用
1 = 已启用
注意:在偏移校正完成后设置
   
5 HPOUT1L_DL
Y
0 启用 HPOUT1L 中间级
0 = 已禁用
1 = 已启用
注意:设置至少 20us 延迟以启用HPOUT1L_ENA
   
3 HPOUT1R_RM
V_SHORT
0 移除 HPOUT1R 短路
0 = HPOUT1R 短路启用
1 = HPOUT1R 短路移除
注意:在输出阶段结束后删除短路启用。
   
2 HPOUT1R_OU
TP
0 启用 HPOUT1R 输出级
0 = 已禁用
1 = 已启用
注意:在偏移校正完成后设置
   
1 HPOUT1R_DL
Y
0 启用 HPOUT1R 中间阶段
0 = 已禁用
1 = 已启用
注意:设置至少 20us 延迟以启用HPOUT1R_ENA
   
R257
(0101h)
Control
 Interface
控制接口 15 REG_SYNC 1 控制内部写入控制是否同步到SYS_CLK,从而避免多位寄存器的交叉时钟域问题,例如音量控制。通常这是由SYS_CLK_ENA的状态自动处理,位这允许同步器可以手动禁用。
0: 同步器始终禁用
1: SYS_CLK_ENA=1 时启用同步器
   
6 SPI_CONTRD 0 SPI 3/4 线启用连续读取模式
0: Disabled
1: Enabled
   
5 SPI_4WIRE 0 SPI 控制模式选择
0 = 3线,使用双向的 SDA
1 = 4 线,使用 SDOUT
   
4 SPI_CFG 0 SDA/SDOUT 引脚配置
0 = CMOS
1 = 开路 (SPI_4WIRE = 0)
1 = 线"或"(SPI_4WIRE = 1)
   
2 AUTO_INC 1 启用地址自动增量(仅适用于双线 I2C 模式)
0 = Disabled
1 = Enabled
   
R272
(0110h)
Write
Sequencer
Ctrl (1)
写序列器控制1 15 WSEQ_ENA 0 写入序列器启用。
0 = Disabled
1 = Enabled
   
9 WSEQ_ABOR
T
0 向此位写入 1 会中止当前序列,并且将设备控制返回到串行控制接口。    
8 WSEQ_START 0 将 1 写入此位将启动WSEQ_START_INDEX选择的索引位置继续序列,直到它达到"结束",序列标志在序列的末尾,由写入序列器重置。    
6:0 WSEQ_START
_INDEX[6:0]
000_0000 序列开始索引。此字段确定所选命令中第一个命令的内存位置序列。有 127 写入序列器 RAM地址
00h = WSEQ_ADDR0 (R12288)
01h = WSEQ_ADDR1 (R12292)
02h = WSEQ_ADDR2 (R12296)
….
7Fh = WSEQ_ADDR127 (R12796)
   
R273
(0111h)
Write
Sequencer
Ctrl (2)
写序列器控制2 8 WSEQ_BUSY 0 序列器忙标志(只读)。
0 = 序列器空闲
1 = 序列器忙
注意:忙时无法控制序列器接口。
   
6:0 WSEQ_CURR
ENT_INDEX[6:
0]
000_0000 序列索引。这表示内存最近访问的命令的位置。编码与WSEQ_START_INDEX相同。    
R512
(0200h)
AIF1
Clocking (1)
AIF1时钟设1 4:3 AIF1CLK_SRC[
1:0]
00 AIF1CLK 源选择
00 = MCLK1
01 = MCLK2
10 = FLL1
11 = FLL2
   
2 AIF1CLK_INV 0 AIF1CLK 反转
0 = AIF1CLK 未反转
1 = AIF1CLK 反转
   
1 AIF1CLK_DIV 0 AIF1CLK 分频器
0 = AIF1CLK
1 = AIF1CLK / 2
   
0 AIF1CLK_ENA 0 AIF1CLK 启用
0 = Disabled
1 = Enabled
   
R513
(0201h)
AIF1
Clocking (2)
AIF1时钟设2 5:3 AIF1DAC_DIV[
2:0]
000 选择相对于AIF1CLK的AIF1输入路径采样率。
000 = AIF1CLK
001 = AIF1CLK / 1.5
010 = AIF1CLK / 2
011 = AIF1CLK / 3
100 = AIF1CLK / 4
101 = AIF1CLK / 5.5
110 = AIF1CLK / 6
111 = 保留
请注意, 如果AIF1ADC_DIV > 000,AIF1DAC_DIV必须设置为 000.
   
2:0 AIF1ADC_DIV[
2:0]
000 选择 对于AIF1CLK的AIF1 输出路径采样率
000 = AIF1CLK
001 = AIF1CLK / 1.5
010 = AIF1CLK / 2
011 = AIF1CLK / 3
100 = AIF1CLK / 4
101 = AIF1CLK / 5.5
110 = AIF1CLK / 6
111 = 保留
Note that AIF1ADC_DIV must be set to 000 if
AIF1DAC_DIV > 000.
   
R516
(0204h)
AIF2
Clocking (1)
AIF2时钟设1 4:3 AIF2CLK_SRC[
1:0]
  AIF2CLK 源选择
00 = MCLK1
01 = MCLK2
10 = FLL1
11 = FLL2
   
2 AIF2CLK_INV   AIF2CLK 反转
0 = AIF2CLK 未反转
1 = AIF2CLK 反转
   
1 AIF2CLK_DIV   AIF2CLK 分频器
0 = AIF2CLK
1 = AIF2CLK / 2
   
0 AIF2CLK_ENA   AIF2CLK 启用
0 = Disabled
1 = Enabled
   
R517
(0205h)
AIF2
Clocking (2)
AIF2时钟设2 5:3 AIF2DAC_DIV[
2:0]
000 选择相对于AIF2CLK的 AIF2 输入路径采样率.
000 = AIF2CLK
001 = AIF2CLK / 1.5
010 = AIF2CLK / 2
011 = AIF2CLK / 3
100 = AIF2CLK / 4
101 = AIF2CLK / 5.5
110 = AIF2CLK / 6
111 = 保留
Note that AIF2DAC_DIV must be set to 000 if AIF2ADC_DIV > 000.
   
2:0 AIF2ADC_DIV[
2:0]
000 选择相对于AIF2CLK的 AIF2 输出路径采样率.
000 = AIF2CLK
001 = AIF2CLK / 1.5
010 = AIF2CLK / 2
011 = AIF2CLK / 3
100 = AIF2CLK / 4
101 = AIF2CLK / 5.5
110 = AIF2CLK / 6
111 = 保留
Note that AIF2ADC_DIV must be set to 000 if AIF2DAC_DIV > 000.
   
R520
(0208h)
Clocking (1) 时钟设置1 4 TOCLK_ENA 0 慢速时钟 (TOCLK) 启用
0 = Disabled
1 = Enabled
此时钟是零交叉超时所必需的。
   
3 DSP_FS1CLK_
ENA
0 AIF1 处理时钟启用
0 = Disabled
1 = Enabled
   
2 DSP_FS2CLK_
ENA
0 AIF2 处理时钟启用
0 = Disabled
1 = Enabled
   
1 DSP_FSINTCL
K_ENA
0 数字混合处理器时钟启用
0 = Disabled
1 = Enabled
   
0 SYSCLK_SRC 0 SYSCLK 源选择
0 = AIF1CLK
1 = AIF2CLK
   
R521
(0209h)
Clocking (2) 时钟设置2 10:8 TOCLK_DIV[2:
0]
000 慢速时钟 ( TOCLK ) 分频器(设置 TOCLK 速率相对于 256kHz)。
000 = 除以 256 (1kHz)
001 = 除以 512 (500Hz)
010 = 除以 1024 (250Hz)
011 = 除以 2048 (125Hz)
100 = 除以 4096 (62.5Hz)
101 = 除以 8192 (31.2Hz)
110 = 除以 16384 (15.6Hz)
111 = 除以 32768 (7.8Hz)
   
6:4 DBCLK_DIV[2:
0]
000 去反弹时钟 (DBCLK) 分频器(设置 DBCLK 速率相对于 256kHz)
000 = 除以 256 (1kHz)
001 = 除以 2048 (125Hz)
010 = 除以 4096 (62.5Hz)
011 = 除以 8192 (31.2Hz)
100 = 除以 16384 (15.6Hz)
101 = 除以 32768 (7.8Hz)
110 = 除以 65536 (3.9Hz)
111 = 除以 131072 (1.95Hz)
   
2:0 OPCLK_DIV[2:
0]
000 GPIO 输出时钟 (OPCLK) 分频器
000 = SYSCLK
001 = SYSCLK / 2
010 = SYSCLK / 3
011 = SYSCLK / 4
100 = SYSCLK / 6
101 = SYSCLK / 8
110 = SYSCLK / 12
111 = SYSCLK / 16
   
R528
(0210h)
AIF1 Rate AIF1时钟频率设置 7:4 AIF1_SR[3:0] 1000 选择 AIF1 采样率 (fs)
0000 = 8kHz
0001 = 11.025kHz
0010 = 12kHz
0011 = 16kHz
0100 = 22.05kHz
0101 = 24kHz
0110 = 32kHz
0111 = 44.1kHz
1000 = 48kHz
1001 = 88.2kHz
1010 = 96kHz
所有其他代码 = 保留
请注意,88.2kHz 和 96kHz 模式仅支持 AIF1 输入(DAC 播放)。
   
3:0 AIF1CLK_RAT
E[3:0]
0011 选择 AIF1CLK / fs 比率
0000 = 64
0001 = 128
0010 = 192
0011 = 256
0100 = 384
0101 = 512
0110 = 768
0111 = 1024
1000 = 1408
1001 = 1536
所有其他代码 = 保留
对于立体声 DAC(AIF1 输入)模式,速率必须为128 x fs 或更高。
对于ADC(AIF1输出)模式,速率必须为256 xfs 或更高。
   
R529
(0211h)
AIF2 Rate AIF2时钟频率设置 7:4 AIF2_SR[3:0] 1000 选择 AIF2 采样率 (fs)
0000 = 8kHz
0001 = 11.025kHz
0010 = 12kHz
0011 = 16kHz
0100 = 22.05kHz
0101 = 24kHz
0110 = 32kHz
0111 = 44.1kHz
1000 = 48kHz
1001 = 88.2kHz
1010 = 96kHz
所有其他代码 = 保留
请注意,88.2kHz 和 96kHz 模式仅支持AIF2 输入(DAC 播放)。
   
3:0 AIF2CLK_RAT
E[3:0]
0011 选择 AIF2CLK / fs 比率
0000 = 64
0001 = 128
0010 = 192
0011 = 256
0100 = 384
0101 = 512
0110 = 768
0111 = 1024
1000 = 1408
1001 = 1536
所有其他代码 = 保留
对于立体声 DAC(AIF2 输入)模式,速率必须为128 x fs 或更高。
对于ADC(AIF2输出)模式,速率必须为256 xfs 或更高。
   
R530
(0212h)
Rate Status 时钟频率状态 3:0 SR_ERROR[3:
0]
0000 采样率配置状态
指示与寄存器采样率配置相关的错误
0000 = 无错误
0001 = 无效采样率
0010 = 无效 AIF 除法
0011 = ADC 和 DAC 在接口中划分两个集
0100 = AIF 除法和采样率的无效组合
0101 = 96kHz 模式下的无效启用集
0110 = 无效 SYSCLK 速率(派生自AIF1CLK_RATE或AIF2CLK_RATE)
0111 = SYSCLK AIF 中的混合 ADC 和 DAC 速率,当 AIF 是异步时
1000 = 当两者同时发生时,AIF 来自同一时钟源采样率的无效组合
1001 = 混合 ADC\/DAC AIF 的无效组合,当两者都来自同一时钟源时
1010 = AIF1DAC2(时隙 1)端口启用时,连接到 AIF1 的 SR
   
R544
(0220h)
FLL1
 Control (1)
PLL1控制1 2 FLL1_FRACN_
ENA
0 FLL1 分数启用
0 = 整数模式
1 = 分数模式
分数模式 (FLL1_FRACN_ENA=1 ,建议在所有情况下)
   
1 FLL1_OSC_EN
A
0 FLL1 振荡器启用
0 = 已禁用
1 = 已启用
(请注意,此字段是自由运行 FLL1模式 所必需的)
   
0 FLL1_ENA 0 FLL1 启用
0 = Disabled
1 = Enabled
   
R545
(0221h)
FLL1
Control (2)
PLL1控制2 13:8 FLL1_OUTDIV[
5:0]
00_0000 FLL1 FOUT 时钟分频器
000000 = 保留
000001 = 保留
000010 = 保留
000011 = 4
000100 = 5
000101 = 6

111110 = 63
111111 = 64
(FOUT = FVCO / FLL1_OUTDIV)
   
6:4 FLL1_CTRL_R
ATE[2:0]
000 FLL1 控制模块的频率
000 = FVCO / 1 (推荐值)
001 = FVCO / 2
010 = FVCO / 3
011 = FVCO / 4
100 = FVCO / 5
101 = FVCO / 6
110 = FVCO / 7
111 = FVCO / 8
建议不要更改这些默认值。
   
2:0 FLL1_FRATIO[
2:0]
000 FLL1 FVCO 时钟分频器
000 = 1
001 = 2
010 = 4
011 = 8
1XX = 16
000 推荐用于高 FREF
011 推荐用于低 FREF
   
R546
(0222h)
FLL1
Control (3)
PLL1控制3 15:0 FLL1_K[15:0] 0000_0000
_0000_000
0
FRF 的 FLL1 分数乘法(MSB = 0.5)    
R547
(0223h)
FLL1
Control (4)
PLL1控制4 14:5 FLL1_N[9:0] 00_0000_0
000
FLL1 FRF 的整数乘法(LSB = 1)    
3:0 FLL1_GAIN[3:0
]
0000 FLL1 应用于错误的增益
0000 = x 1 (推荐值)
0001 = x 2
0010 = x 4
0011 = x 8
0100 = x 16
0101 = x 32
0110 = x 64
0111 = x 128
1000 = x 256
建议不要更改这些默认值。
   
R548
(0224h)
FLL1
Control (5)
PLL1控制5 12:7 FLL1_FRC_NC
O_VAL[5:0]
01_1001 FLL1 强制振荡器值
有效范围为 000000 到 111111 0x19h (011001) = 约 12MHz(请注意,此字段是自由运行的 FLL 所必需的)
   
6 FLL1_FRC_NC
O
0 FLL1 强制控制选择
0 = 正常
1 = FLL1 振荡器,由FLL1_FRC_NCO_VAL控制
(请注意,此字段是自由运行的 FLL 所必需的)
   
4:3 FLL1_CLK_RE
F_DIV[1:0]
00 FLL1 时钟参考分频器
00 = MCLK / 1
01 = MCLK / 2
10 = MCLK / 4
11 = MCLK / 8
MCLK(或其他输入参考)必须<=13.5MHz。
对于低功耗操作,参考时钟可以进一步向下划分。
   
1:0 FLL1_CLK_RE
F_SRC[1:0]
00 FLL1 时钟源
00 = MCLK1
01 = MCLK2
10 = LRCLK1
11 = BCLK1
   
R576
(0240h)
FLL2 
Control (1)
PLL2控制1 2 FLL2_FRACN_
ENA
0 FLL2 分数启用
0 = 整数模式
1 = 分数模式
分数模式 (建议在所有情况下FLL2_FRACN_ENA=1)
   
1 FLL2_OSC_EN
A
0 FLL2 振荡器启用
0 = 已禁用
1 = 已启用
(请注意,此字段是自由运行的 FLL2 所必需的)
   
0 FLL2_ENA 0 FLL2 启用
0 = Disabled
1 = Enabled
   
R577
(0241h)
FLL2
Control (2)
PLL2控制2 13:8 FLL2_OUTDIV[
5:0]
00_0000 FLL2 FOUT 时钟分频器
000000 = 保留
000001 = 保留
000010 = 保留
000011 = 4
000100 = 5
000101 = 6

111110 = 63
111111 = 64
(FOUT = FVCO / FLL2_OUTDIV)
   
6:4 FLL2_CTRL_R
ATE[2:0]
000 FLL2 控制模块的频率
000 = FVCO / 1 (推荐值)
001 = FVCO / 2
010 = FVCO / 3
011 = FVCO / 4
100 = FVCO / 5
101 = FVCO / 6
110 = FVCO / 7
111 = FVCO / 8
建议不要更改这些默认值。
   
2:0 FLL2_FRATIO[
2:0]
000 FLL2 FVCO 时钟分频器
000 = 1
001 = 2
010 = 4
011 = 8
1XX = 16
000 推荐用于高 FREF
011 推荐用于低 FREF
   
R578
(0242h)
FLL2
Control (3)
PLL2控制3 15:0 FLL2_K[15:0] 0000_0000
_0000_000
0
FRF 的 FLL2 分数乘法(MSB = 0.5)    
R579
(0243h)
FLL2
Control (4)
PLL2控制4 14:5 FLL2_N[9:0] 00_0000_0
000
FLL2 整数乘以 FRF(LSB = 1)    
3:0 FLL2_GAIN[3:0
]
0000 FLL2 应用于错误增益
0000 = x 1 (推荐值)
0001 = x 2
0010 = x 4
0011 = x 8
0100 = x 16
0101 = x 32
0110 = x 64
0111 = x 128
1000 = x 256
建议不要更改这些默认值。
   
R580
(0244h)
FLL2
Control (5)
PLL2控制5 12:7 FLL2_FRC_NC
O_VAL[5:0]
01_1001 FLL2 强制振荡器值
有效范围为 000000 到 111111 0x19h (011001) = 约 12MHz
(请注意,此字段是自由运行的 FLL 所必需的)
   
6 FLL2_FRC_NC
O
0 FLL2 强制控制选择
0 = 正常
1 = FLL2 振荡器,由FLL2_FRC_NCO_VAL控制
(请注意,此字段是自由运行的 FLL 所必需的)
   
4:3 FLL2_CLK_RE
F_DIV[1:0]
00 FLL2 时钟参考分频器
00 = MCLK / 1
01 = MCLK / 2
10 = MCLK / 4
11 = MCLK / 8
MCLK(或其他输入参考)必须向下≤13.5MHz。
对于低功耗操作,参考时钟可以进一步向下划分。
   
1:0 FLL2_CLK_RE
F_SRC[1:0]
00 FLL2 时钟源
00 = MCLK1
01 = MCLK2
10 = LRCLK2
11 = BCLK2
   
R768
(0300h)
AIF1 Control
(1)
AIF1控制1 15 AIF1ADCL_SR
C
0 AIF1 左侧数字音频接口源
0 = 左侧ADC数据输出在左通道上
1 = 右ADC数据输出在左通道上
   
14 AIF1ADCR_SR
C
1 AIF1 右侧数字音频接口源
0 = 右通道输出左ADC数据
1 = 右通道输出右ADC数据
   
13 AIF1ADC_TDM 0 AIF1 传输 (ADC) TDM 启用
0 = 正常 ADCDAT1 操作
1 = ADCDAT1 上启用 TDM
   
8 AIF1_BCLK_IN
V
0 BCLK1 反转
0 = BCLK1 未反转
1 = BCLK1 倒置
   
7 AIF1_LRCLK_I
NV
0 右侧、左侧和 I2S 模式 = LRCLK1 极性
0 = 正常 LRCLK1 极性
1 = 反转 LRCLK1 极性
DSP 模式 = 模式 A/B 选择
0 = MSB 可在 BCLK1 上升沿后的第2个LRCLK1 上升沿(模式 A)
1 = MSB 可在 BCLK1 上升沿后的第1个LRCLK1 上升沿(模式 B)
   
6:5 AIF1_WL[1:0] 10 AIF1 数字音频接口字长
00 = 16 bits
01 = 20 bits
10 = 24 bits
11 = 32 bits
注意 - 8bit模式可以使用"压缩"控制位。
   
4:3 AIF1_FMT[1:0] 10 AIF1 数字音频接口格式
00 = 右对齐
01 = 左对齐
10 = I2S Format
11 = DSP Mode
   
R769
(0301h)
AIF1 Control
(2)
AIF1控制2 15 AIF1DACL_SR
C
0 AIF1 左侧接收数据源选择
0 = 左侧 DAC 接收左侧接口数据
1 = 左侧 DAC 接收右侧接口数据
   
14 AIF1DACR_SR
C
1 AIF1 Right Receive Data Source Select
0 = Right DAC receives left interface data
1 = Right DAC receives right interface data
   
11:10 AIF1DAC_BOO
ST[1:0]
00 AIF1 输入增益
00 = 0dB
01 = +6dB
10 = +12dB
11 = +18dB
   
4 AIF1DAC_CO
MP
0 AIF1 接收压缩启用
0 = disabled
1 = enabled
   
3 AIF1DAC_CO
MPMODE
0 AIF1 接收压缩类型
0 = μ-law
1 = A-law
   
2 AIF1ADC_CO
MP
0 AIF1 传输压缩启用
0 = disabled
1 = enabled
   
1 AIF1ADC_CO
MPMODE
0 AIF1 传输压缩类型
0 = μ-law
1 = A-law
   
0 AIF1_LOOPBA
CK
0 AIF1 数字环回功能
0 = 无环回
1 = 已启用环回(ADCDAT1 数据输出直接输入到 DACDAT1 数据输入)。
   
R770
(0302h)
AIF1
Master/Slav
e
AIF1主从设置 15 AIF1_TRI 0 AIF1 音频接口状态
0 = AIF1 引脚工作正常
1 = 所有 AIF1 接口引脚三态
请注意,仅当配置为 ADCLRCLK1 时,GPIO1 引脚由此寄存器控制。
   
14 AIF1_MSTR 0 AIF1 音频接口主模式选择
0 = 从模式
1 = 主模式
   
13 AIF1_CLK_FR
C
0 当强制启用 BCLK1时,所有 AIF1 音频通道已禁用。
0 = 正常
1 = BCLK1 始终在主模式下启用
   
12 AIF1_LRCLK_
FRC
0 当强制启用 LRCLK1时,所有 AIF1 音频通道已禁用。
0 = 正常
1 = LRCLK1 始终在主模式下启用
   
R771
(0303h)
AIF1 BCLK AIF1 BCLK1时钟 7:4 AIF1_BCLK_DI
V[3:0]
0100 BCLK1 速率
0000 = AIF1CLK
0001 = AIF1CLK / 2
0010 = AIF1CLK / 4
0011 = AIF1CLK / 6
0100 = AIF1CLK / 8
0101 = AIF1CLK / 12
0110 = AIF1CLK / 16
0111 = AIF1CLK / 24
1000 = AIF1CLK / 32
1001 = AIF1CLK / 48
所有其他代码都保留
   
R772
(0304h)
AIF1ADC
LRCLK
AIF1 ADC时钟 11 AIF1ADC_LRC
LK_DIR
0 允许在从属模式下启用 ADCLRCLK1
0 = 正常
1 = 从属模式下启用 ADCLRCLK1
   
10:0 AIF1ADC_RAT
E[10:0]
000_0100_
0000
ADCLRCLK1 速率
ADCLRCLK1 时钟输出 =
BCLK1 / AIF1ADC_RATE
整数 (LSB = 1)
有效范围 8...2047
   
R773
(0305h)
AIF1DAC
LRCLK
AIF1 DAC时钟 11 AIF1DAC_LRC
LK_DIR
0 允许在从属模式下启用 LRCLK1
0 = 正常
1 = 从属模式下启用 LRCLK1
   
10:0 AIF1DAC_RAT
E[10:0]
000_0100_
0000
LRCLK1 速率
LRCLK1 时钟输出 =
BCLK1 / AIF1DAC_RATE
整数 (LSB = 1)有效范围 8...2047
   
R774
(0306h)
AIF1DAC
Data
AIF1 DAC数据格式 1 AIF1DACL_DA
T_INV
0 AIF1 左侧接收数据反转
0 = 未倒置
1 = 反转
   
0 AIF1DACR_DA
T_INV
0 AIF1 右侧接收数据反转
0 = 未倒置
1 = 反转
   
R775
(0307h)
AIF1ADC
Data
AIF1 ADC数据格式 1 AIF1ADCL_DA
T_INV
0 AIF1 左侧传输数据反转
0 = 未倒置
1 = 反转
   
0 AIF1ADCR_DA
T_INV
0 AIF1 右传输数据反转
0 = 未倒置
1 = 反转
   
R784
(0310h)
AIF2 Control
(1)
AIF2控制1 15 AIF2ADCL_SR
C
0 AIF2 左侧数字音频接口源
0 = 左侧ADC数据输出在左通道上
1 = 右ADC数据输出在左通道上
   
14 AIF2ADCR_SR
C
1 AIF2 右侧数字音频接口源
0 = 右通道输出左ADC数据
1 = 右通道输出右ADC数据
   
13 AIF2ADC_TDM 0 AIF2 传输 (ADC) TDM 启用
0 = 正常 ADCDAT2 操作
1 = ADCDAT2 上启用 TDM
   
12 AIF2ADC_TDM
_CHAN
0 AIF2 传输 (ADC) TDM 插槽选择
0 = 插槽 0
1 = 插槽 1
   
8 AIF2_BCLK_IN
V
0 BCLK2 反转
0 = BCLK2 未反转
1 = BCLK2 倒置
   
7 AIF2_LRCLK_I
NV
0 右对齐、左对齐和 I2S 模式的 LRCLK2 极性设置
0 = 正常 LRCLK2 极性
1 = 反转 LRCLK2 极性
DSP 模式 = 模式 A\/B 选择
0 = MSB 可在 BCLK2 上升沿后的第 2 个LRCLK2 上升沿(模式 A)
1 = MSB 可在 BCLK2 上升沿后的第 1 个LRCLK2 上升沿(模式 B)
   
6:5 AIF2_WL[1:0] 10 AIF2 数字音频接口字长
00 = 16 位
01 = 20 位
10 = 24 位
11 × 32 位
注意 :8bit模式 可以使用"压缩"控制位。
   
4:3 AIF2_FMT[1:0] 10 AIF2 数字音频接口格式
00 = 右对齐
01 = 左对齐
10 = I2S 格式
11 = DSP 模式
   
R785
(0311h)
AIF2 Control
(2)
AIF2控制2 15 AIF2DACL_SR
C
0 AIF2 左侧接收数据源选择
0 = 左侧 DAC 接收左侧接口数据
1 = 左侧 DAC 接收右侧接口数据
   
14 AIF2DACR_SR
C
1 AIF2 右接收数据源选择
0 = 右侧 DAC 接收左侧接口数据
1 = 右 DAC 接收正确的接口数据
   
13 AIF2DAC_TDM 0 AIF2 接收 (DAC) TDM 启用
0 = 正常 DACDAT2 操作
1 = 在 DACDAT2 上启用 TDM
   
12 AIF2DAC_TDM
_CHAN
0 AIF2 接收(DAC) TDM 插槽选择
0 = 插槽 0
1 = 插槽 1
   
11:10 AIF2DAC_BOO
ST[1:0]
00 AIF2 输入增益
00 = 0dB
01 = +6dB
10 = +12dB
11 = +18dB
   
4 AIF2DAC_CO
MP
0 AIF2 接收压缩使能
0 = disabled
1 = enabled
   
3 AIF2DAC_CO
MPMODE
0 AIF2 接收压缩类型
0 = μ-law
1 = A-law
   
2 AIF2ADC_CO
MP
0 AIF2 传输压缩使能
0 = disabled
1 = enabled
   
1 AIF2ADC_CO
MPMODE
0 AIF2 传输压缩类型
0 = μ-law
1 = A-law
   
0 AIF2_LOOPBA
CK
0 AIF2 数字环回功能
0 = 无环回
1 = 已启用环回(ADCDAT2 数据输出直接输入到 DACDAT2 数据输入)。
   
R786
(0312h)
AIF2
Master/Slav
e
AIF2主从设置 15 AIF2_TRI 0 AIF2 音频接口三态
0 = AIF2 引脚工作正常
1 = AIF2 所有接口引脚三态
请注意,未配置为 AIF2 功能的引脚不受此寄存器的影响。
   
14 AIF2_MSTR 0 AIF2 音频接口主从模式选择
0 = 从模式
1 = 主模式
   
13 AIF2_CLK_FR
C
0 强制启用 BCLK2,当所有 AIF2 音频通道已禁用时
0 = 正常
1 = BCLK2 始终在主模式下启用
   
12 AIF2_LRCLK_
FRC
0 强制启用 LRCLK2,当所有 AIF2 音频通道已禁用时。
0 = 正常
1 = LRCLK2 始终在主模式下启用
   
R787
(0313h)
AIF2 BCLK AIF2 BCLK设置 7:4 AIF2_BCLK_DI
V[3:0]
0100 BCLK2 速率
0000 = AIF2CLK
0001 = AIF2CLK / 2
0010 = AIF2CLK / 4
0011 = AIF2CLK / 6
0100 = AIF2CLK / 8
0101 = AIF2CLK / 12
0110 = AIF2CLK / 16
0111 = AIF2CLK / 24
1000 = AIF2CLK / 32
1001 = AIF2CLK / 48
所有其他代码都保留
   
R788
(0314h)
AIF2ADC
LRCLK
AIF2 ADC时钟设置 11 AIF2ADC_LRC
LK_DIR
0 允许在从属模式下启用 ADCLRCLK2
0 = 正常
1 = 从属模式下启用 ADCLRCLK2
   
10:0 AIF2ADC_RAT
E[10:0]
000_0100_
0000
ADCLRCLK2 速率
ADCLRCLK2 时钟输出 = BCLK2 / AIF2ADC_RATE
整数 (LSB = 1)
有效范围 8...2047
   
R789
(0315h)
AIF2DAC
LRCLK
AIF2 DAC时钟设置 11 AIF2DAC_LRC
LK_DIR
0 允许在从属模式下启用 LRCLK2
0 = 正常
1 = 从属模式下启用 LRCLK2
   
10:0 AIF2DAC_RAT
E[10:0]
000_0100_
0000
LRCLK2 速率
LRCLK2 时钟输出 = BCLK2 / AIF2DAC_RATE
整数 (LSB = 1)
有效范围 8...2047
   
R790
(0316h)
AIF2DAC
Data
AIF2 DAC数据设置 1 AIF2DACL_DA
T_INV
0 AIF2 左侧接收数据反转
0 = 未倒置
1 = 反转
   
0 AIF2DACR_DA
T_INV
0 AIF2 右侧接收数据反转
0 = 未倒置
1 = 反转
   
R791
(0317h)
AIF2ADC
Data
AIF2 ADC数据设置 1 AIF2ADCL_DA
T_INV
0 AIF2 左传输数据反转
0 = 未倒置
1 = 反转
   
0 AIF2ADCR_DA
T_INV
0 AIF2 右传输数据反转
0 = 未倒置
1 = 反转
   
R1024
(0400h)
AIF1 ADC1
Left Volume
AIF1 ADC1 左音量 8 AIF1ADC1_VU 0 AIF1ADC1 输出路径 (AIF1, 时隙 0) 音量更新
向此位写入 1 将导致 AIF1ADC1L 和 AIF1ADC1R 音量同时更新
   
7:0 AIF1ADC1L_V
OL[7:0]
1100_0000 AIF1ADC1(左)输出路径(AIF1,时隙0)数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
EFh = +17.625dB
   
R1025
(0401h)
AIF1 ADC1
Right
Volume
AIF1 ADC1 右音量 8 AIF1ADC1_VU 0 AIF1ADC1 输出路径 (AIF1, 时隙 0) 音量更新
向此位写入 1 将导致 AIF1ADC1L 和AIF1ADC1R 音量同时更新
   
7:0 AIF1ADC1R_V
OL[7:0]
1100_0000 AIF1ADC1(右)输出路径(AIF1,时隙0)数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
EFh = +17.625dB
   
R1026
(0402h)
AIF1 DAC1
Left Volume
AIF1 DAC1左音量 8 AIF1DAC1_VU 0 AIF1DAC1 输入路径 (AIF1, 时隙 0) 音量更新
向此位写入 1 将导致 AIF1DAC1L 和 AIF1DAC1R 音量同时更新
   
7:0 AIF1DAC1L_V
OL[7:0]
1100_0000 AIF1DAC1(左)输入路径(AIF1,时隙0)数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
C0h = 0dB
FFh = 0dB
   
R1027
(0403h)
AIF1 DAC1
Right
Volume
AIF1 DAC1右音量 8 AIF1DAC1_VU 0 AIF1DAC1 输入路径 (AIF1, 时隙 0) 音量更新
向此位写入 1 将导致 AIF1DAC1L 和 AIF1DAC1R 音量同时更新
   
7:0 AIF1DAC1R_V
OL[7:0]
1100_0000 AIF1DAC1(右)输入路径(AIF1,时隙0)数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
C0h = 0dB
FFh = 0dB
   
R1028
(0404h)
AIF1 ADC2
Left Volume
AIF1 ADC2左音量 8 AIF1ADC2_VU 0 AIF1ADC2 输出路径 (AIF1, 时隙 1) 音量更新
向此位写入 1 将导致 AIF1ADC2L 和 AIF1ADC2R 音量同时更新
   
7:0 AIF1ADC2L_V
OL[7:0]
1100_0000 AIF1ADC2(左)输出路径(AIF1,时隙1)数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
EFh = +17.625dB
   
R1029
(0405h)
AIF1 ADC2
Right
Volume
AIF1 ADC2右音量 8 AIF1ADC2_VU 0 AIF1ADC2 输出路径 (AIF1, 时隙 1) 音量更新
向此位写入 1 将导致 AIF1ADC2L 和 AIF1ADC2R 音量同时更新
   
7:0 AIF1ADC2R_V
OL[7:0]
1100_0000 AIF1ADC2(右)输出路径(AIF1,时隙1)数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
EFh = +17.625dB
   
R1030
(0406h)
AIF1 DAC2
Left Volume
AIF1 DAC2左音量 8 AIF1DAC2_VU 0 AIF1DAC2 输入路径 (AIF1, 时隙 1) 音量更新
向此位写入 1 将导致 AIF1DAC2L 和 AIF1DAC2R 音量同时更新
   
7:0 AIF1DAC2L_V
OL[7:0]
1100_0000 AIF1DAC2(左)输入路径(AIF1,时隙1)数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
C0h = 0dB
FFh = 0dB
   
R1031
(0407h)
AIF1 DAC2
Right
Volume
AIF1 DAC2右音量 8 AIF1DAC2_VU 0 AIF1DAC2 输入路径 (AIF1, 时隙 1) 音量更新
向此位写入 1 将导致 AIF1DAC2L 和 AIF1DAC2R 音量同时更新
   
7:0 AIF1DAC2R_V
OL[7:0]
1100_0000 AIF1DAC2(右)输入路径(AIF1,时隙1)数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
C0h = 0dB
FFh = 0dB
   
R1040
(0410h)
AIF1 ADC1
Filters
AIF1 ADC1滤波器 14:13 AIF1ADC1_HP
F_CUT[1:0]
00 AIF1ADC1 输出路径 (AIF1, 时隙 0) 数字 HPF 截止频率(fc)
00 = Hi-fi 模式 (fc = 4Hz at fs = 48kHz)
01 = 语音模式 1 (fc = 127Hz at fs = 8kHz)
10 = 语音模式 2 (fc = 130Hz at fs = 8kHz)
11 = 语音模式 3 (fc = 267Hz at fs = 8kHz)
   
12 AIF1ADC1L_H
PF
0 AIF1ADC1(左)输出路径(AIF1,时隙0)数字 HPF 启用
0 = Disabled
1 = Enabled
   
11 AIF1ADC1R_H
PF
0 AIF1ADC1(右)输出路径(AIF1,时隙0)数字 HPF 启用
0 = Disabled
1 = Enabled
   
R1041
(0411h)
AIF1 ADC2
Filters
AIF1 ADC2滤波器 14:13 AIF1ADC2_HP
F_CUT[1:0]
00 AIF1ADC2 输出路径 (AIF1, 时隙 1) 数字 HPF 截止频率(fc)
00 = Hi-fi 模式 (fc = 4Hz at fs = 48kHz)
01 = 语音模式 1 (fc = 127Hz at fs = 8kHz)
10 = 语音模式 2 (fc = 130Hz at fs = 8kHz)
11 = 语音模式 3 (fc = 267Hz at fs = 8kHz)
   
12 AIF1ADC2L_H
PF
0 AIF1ADC2(左)输出路径(AIF1,时隙1)数字 HPF 启用
0 = Disabled
1 = Enabled
   
11 AIF1ADC2R_H
PF
0 AIF1ADC2(右)输出路径(AIF1,时隙1)数字 HPF 启用
0 = Disabled
1 = Enabled
   
R1056
(0420h)
AIF1 DAC1
Filters (1)
AIF1 DAC1滤波器1 9 AIF1DAC1_MU
TE
1 AIF1DAC1 输入路径 (AIF1, 时隙 0) 软静音控制
0 = 取消静音
1 = 静音
   
7 AIF1DAC1_MO
NO
0 AIF1DAC1 输入路径 (AIF1, 时隙 0) 单声道混合控制
0 = Disabled
1 = Enabled
   
5 AIF1DAC1_MU
TERATE
0 AIF1DAC1 输入路径 (AIF1, 时隙 0) 软静音斜率
0 = 快速斜率(fs\/2,最大斜坡时间是 10.7ms,在fs=48k)
1 = 慢速斜率(fs\/32,最大斜坡时间是 171ms,在fs=48k)
(注意:斜坡速率随采样率而缩放)。
   
4 AIF1DAC1_UN
MUTE_RAMP
0 AIF1DAC1 输入路径 (AIF1, 时隙 0) 取消静音斜率选择
0 = 禁用软静音 (AIF1DAC1_MUTE=0) 将使音量立即更改为AIF1DAC1L_VOL和AIF1DAC1R_VOL设置
1 = 禁用软静音 (AIF1DAC1_MUTE=0) 将导致 DAC 音量逐渐增大到AIF1DAC1L_VOL和AIF1DAC1R_VOL设置
   
2:1 AIF1DAC1_DE
EMP[1:0]
00 AIF1DAC1 输入路径 (AIF1, 时隙 0) 去强调控制
00 = 无重强调
01 = 32kHz 采样率
10 = 44.1kHz 采样率
11 = 48kHz 采样率
   
R1057
(0421h)
AIF1 DAC1
Filters (2)
AIF1 DAC1滤波器2 13:9 AIF1DAC1_3D
_GAIN[4:0]
0_0000 AIF1DAC1 播放路径 (AIF1, 时隙 0) 3D 立体声深度
00000 = 关闭
00001 = 最小值 (-16dB)
...(0.915dB 步长)
11111 = 最大值 ( 11.5dB)
   
8 AIF1DAC1_3D
_ENA
0 在 AIF1DAC1 播放路径中启用 3D 立体声(AIF1,时隙 0)
0 = Disabled
1 = Enabled
   
R1058
(0422h)
AIF1 DAC2
Filters (1)
AIF1 DAC2滤波器1 9 AIF1DAC2_MU
TE
1 AIF1DAC2 输入路径 (AIF1, 时隙 1) 软静音控制
0 = 取消静音
1 = 静音
   
7 AIF1DAC2_MO
NO
0 AIF1DAC2 输入路径 (AIF1, 时隙 1) 单声道混合控制
0 = Disabled
1 = Enabled
   
5 AIF1DAC2_MU
TERATE
0 AIF1DAC2 输入路径 (AIF1, 时隙 1) 软静音斜率
0 = 快速斜率(fs\/2,最大斜坡时间是 10.7ms,在fs=48k)
1 = 慢速斜率(fs\/32,最大斜坡时间是 171ms,在fs=48k)
(注意:斜坡速率随采样率而缩放。)
   
4 AIF1DAC2_UN
MUTE_RAMP
0 AIF1DAC2 输入路径 (AIF1, 时隙 1) 取消静音斜率选择
0 = 禁用软静音 (AIF1DAC2_MUTE=0) 将使音量立即更改为AIF1DAC2L_VOL和AIF1DAC2R_VOL设置
1 = 禁用软静音 (AIF1DAC2_MUTE=0) 将导致 DAC 音量逐渐增大到AIF1DAC2L_VOL和AIF1DAC2R_VOL设置
   
2:1 AIF1DAC2_DE
EMP[1:0]
00 AIF1DAC2 输入路径 (AIF1, 时隙 1) 去强调控制
00 = 无重强调
01 = 32kHz 采样率
10 = 44.1kHz 采样率
11 = 48kHz 采样率
   
R1059
(0423h)
AIF1 DAC2
Filters (2)
AIF1 DAC2滤波器2 13:9 AIF1DAC2_3D
_GAIN[4:0]
0_0000 AIF1DAC2 播放路径 (AIF1, 时隙 1) 3D 立体声深度
00000 = 关闭
00001 = 最小值 (-16dB)
...(0.915dB 步长)
11111 = 最大值 ( 11.5dB)
   
8 AIF1DAC2_3D
_ENA
0 在 AIF1DAC2 播放路径中启用 3D 立体声(AIF1,时隙 1)
0 = Disabled
1 = Enabled
   
R1088
(0440h)
AIF1 DRC1
(1)
AIF1 录音通道1设置1 15:11 AIF1DRC1_SI
G_DET_RMS[4
:0]
0_0000 AIF1 DRC1 信号检测 RMS 阈值。
这是用于AIF1DRC1_SIG_DET_MODE=0时,检测信号的 RMS 信号电平指示。
00000 = -30dB
00001 = -31.5dB
…. (1.5dB 步进)
11110 = -75dB
11111 = -76.5dB
   
10:9 AIF1DRC1_SI
G_DET_PK[1:0
]
00 AIF1 DRC1 信号检测峰值阈值。
这是峰值/RMS 比率,或 Crest 因子,当AIF1DRC1_SIG_DET_MODE=1时信号检测电平。
00 = 12dB
01 = 18dB
10 = 24dB
11 = 30dB
   
8 AIF1DRC1_NG
_ENA
0 AIF1 DRC1 噪声门启用
0 = Disabled
1 = Enabled
   
7 AIF1DRC1_SI
G_DET_MODE
1 AIF1 DRC1 信号检测模式
0 = RMS 阈值模式
1 = 峰值阈值模式
   
6 AIF1DRC1_SI
G_DET
0 AIF1 DRC1 信号检测使能
0 = Disabled
1 = Enabled
   
5 AIF1DRC1_KN
EE2_OP_ENA
0 AIF1 DRC1 KNEE2_OP使能
0 = Disabled
1 = Enabled
   
4 AIF1DRC1_QR 1 AIF1 DRC1 Quick-release 使能
0 = Disabled
1 = Enabled
   
3 AIF1DRC1_AN
TICLIP
1 AIF1 DRC1 Anti-clip 使能
0 = Disabled
1 = Enabled
   
2 AIF1DAC1_DR
C_ENA
0 在 AIF1DAC1 播放路径启用 DRC(AIF1 ,时隙 0)
0 = Disabled
1 = Enabled
   
1 AIF1ADC1L_D
RC_ENA
0 在 AIF1ADC1(左)录音路径中启用 DRC (AIF1 ,时隙 0)
0 = Disabled
1 = Enabled
   
0 AIF1ADC1R_D
RC_ENA
0 在 AIF1ADC1(右)录音路径中启用 DRC (AIF1 ,时隙 0)
0 = Disabled
1 = Enabled
   
R1089
(0441h)
AIF1 DRC1
(2)
AIF1 录音通道1设置2 12:9 AIF1DRC1_AT
K[3:0]
0100 AIF1 DRC1 增益攻击率(秒/6dB)
0000 = 保留
0001 = 181us
0010 = 363us
0011 = 726us
0100 = 1.45ms
0101 = 2.9ms
0110 = 5.8ms
0111 = 11.6ms
1000 = 23.2ms
1001 = 46.4ms
1010 = 92.8ms
1011 = 185.6ms
1100-1111 = 保留
   
8:5 AIF1DRC1_DC
Y[3:0]
0010 AIF1 DRC1 增益衰减率(秒\/6dB)
0000 = 186ms
0001 = 372ms
0010 = 743ms
0011 = 1.49s
0100 = 2.97s
0101 = 5.94s
0110 = 11.89s
0111 = 23.78s
1000 = 47.56s
1001-1111 = 秒
   
4:2 AIF1DRC1_MI
NGAIN[2:0]
001 AIF1 DRC1 衰减音频信号的最小增益
000 = 0dB
001 = -12dB (默认)
010 = -18dB
011 = -24dB
100 = -36dB
101 = 保留
11X = 保留
   
1:0 AIF1DRC1_MA
XGAIN[1:0]
01 AIF1 DRC1 最大增益以增强音频信号 (dB)
00 = 12dB
01 = 18dB
10 = 24dB
11 = 36dB
   
R1090
(0442h)
AIF1 DRC1
(3)
AIF1 录音通道1设置3 15:12 AIF1DRC1_NG
_MINGAIN[3:0]
0000 AIF1 DRC1 当噪声门处于活动状态时衰减音频信号的最小增益。
0000 = -36dB
0001 = -30dB
0010 = -24dB
0011 = -18dB
0100 = -12dB
0101 = -6dB
0110 = 0dB
0111 = 6dB
1000 = 12dB
1001 = 18dB
1010 = 24dB
1011 = 30dB
1100 = 36dB
1101 to 1111 = 保留
   
11:10 AIF1DRC1_NG
_EXP[1:0]
00 AIF1 DRC1 噪声门斜率
00 = 1(无扩展)
01 = 2
10 = 4
11 = 8
   
9:8 AIF1DRC1_QR
_THR[1:0]
00 AIF1 DRC1 快速释放阈值(峰值因子以 dB 表示)
00 = 12dB
01 = 18dB
10 = 24dB
11 = 30dB
   
7:6 AIF1DRC1_QR
_DCY[1:0]
00 AIF1 DRC1 快速释放衰减率(秒/6dB)
00 = 0.725ms
01 = 1.45ms
10 = 5.8ms
11 = 保留
   
5:3 AIF1DRC1_HI_
COMP[2:0]
000 AIF1 DRC1 压缩斜率(上部区域)
000 = 1(无压缩)
001 = 1/2
010 = 1/4
011 = 1/8
100 = 1/16
101 = 0
110 = 保留
111 = 保留
   
2:0 AIF1DRC1_LO
_COMP[2:0]
000 AIF1 DRC1 压缩斜率(较低区域)
000 = 1(无压缩)
001 = 1/2
010 = 1/4
011 = 1/8
100 = 0
101 = 保留
11X = 保留
   
R1091
(0443h)
AIF1 DRC1
(4)
AIF1 录音通道1设置4 10:5 AIF1DRC1_KN
EE_IP[5:0]
00_0000 AIF1 DRC1 输入信号压缩电平阈值。
000000 = 0dB
000001 = -0.75dB
000010 = -1.5dB
...(-0.75dB 步长)
111100 × -45dB
111101 = 保留
11111X = 保留
   
4:0 AIF1DRC1_KN
EE_OP[4:0]
0_0000 AIF1 DRC1 输出信号压缩电平阈值.
00000 = 0dB
00001 = -0.75dB
00010 = -1.5dB
… (-0.75dB 步进)
11110 = -22.5dB
11111 = 保留
   
R1092
(0444h)
AIF1 DRC1
(5)
AIF1 录音通道1设置5 9:5 AIF1DRC1_KN
EE2_IP[4:0]
0_0000 AIF1 DRC1 Input signal level at the Noise Gate
threshold ‘Knee2’.
00000 = -36dB
00001 = -37.5dB
00010 = -39dB
… (-1.5dB steps)
11110 = -81dB
11111 = -82.5dB
Only applicable when DRC_NG_ENA = 1.
   
4:0 AIF1DRC1_KN
EE2_OP[4:0]
0_0000 AIF1 DRC1 输出信号噪声阈值2
00000 = -30dB
00001 = -31.5dB
00010 = -33dB
… (-1.5dB 步进)
11110 = -75dB
11111 = -76.5dB
仅适用于DRC_KNEE2_OP_ENA = 1。
   
R1104
(0450h)
AIF1 DRC2
(1)
AIF1 录音通道2设置1 15:11 AIF1DRC2_SI
G_DET_RMS[4
:0]
0_0000 AIF1 DRC2 信号检测 RMS 阈值。
这是用于AIF1DRC2_SIG_DET_MODE=0时检测 RMS 信号电平。
00000 = -30dB
00001 = -31.5dB
…. (1.5dB 步进)
11110 = -75dB
11111 = -76.5dB
   
10:9 AIF1DRC2_SI
G_DET_PK[1:0
]
00 AIF1 DRC2 信号检测峰值阈值。
当AIF1DRC2_SIG_DET_MODE=1时,检测信号的峰值/RMS 比率,或 Crest 因子
00 = 12dB
01 = 18dB
10 = 24dB
11 = 30dB
   
8 AIF1DRC2_NG
_ENA
0 AIF1 DRC2 噪声门启用
0 = Disabled
1 = Enabled
   
7 AIF1DRC2_SI
G_DET_MODE
1 AIF1 DRC2 信号检测模式
0 = RMS 阈值模式
1 = 峰值阈值模式
   
6 AIF1DRC2_SI
G_DET
0 AIF1 DRC2 信号检测启用
0 = Disabled
1 = Enabled
   
5 AIF1DRC2_KN
EE2_OP_ENA
0 AIF1 DRC2 KNEE2_OP启用
0 = Disabled
1 = Enabled
   
4 AIF1DRC2_QR 1 AIF1 DRC2 Quick-release 启用
0 = Disabled
1 = Enabled
   
3 AIF1DRC2_AN
TICLIP
1 AIF1 DRC2 Anti-clip 启用
0 = Disabled
1 = Enabled
   
2 AIF1DAC2_DR
C_ENA
0 在 AIF1DAC2 播放路径启用 DRC(AIF1,时隙 1)
0 = Disabled
1 = Enabled
   
1 AIF1ADC2L_D
RC_ENA
0 在 AIF1ADC2(左)录音路径中启用DRC (AIF1,时隙 1)
0 = Disabled
1 = Enabled
   
0 AIF1ADC2R_D
RC_ENA
0 在 AIF1ADC2(右)录音路径中启用 DRC (AIF1 ,时隙 1)
0 = Disabled
1 = Enabled
   
R1105
(0451h)
AIF1 DRC2
(2)
AIF1 录音通道2设置2 12:9 AIF1DRC2_AT
K[3:0]
0100 AIF1 DRC2 增益攻击率(秒/6dB)
0000 = 保留
0001 = 181us
0010 = 363us
0011 = 726us
0100 = 1.45ms
0101 = 2.9ms
0110 = 5.8ms
0111 = 11.6ms
1000 = 23.2ms
1001 = 46.4ms
1010 = 92.8ms
1011 = 185.6ms
1100-1111 = 保留
   
8:5 AIF1DRC2_DC
Y[3:0]
0010 AIF1 DRC2 增益衰减率(秒/6dB)
0000 = 186ms
0001 = 372ms
0010 = 743ms
0011 = 1.49s
0100 = 2.97s
0101 = 5.94s
0110 = 11.89s
0111 = 23.78s
1000 = 47.56s
1001-1111 = 保留
   
4:2 AIF1DRC2_MI
NGAIN[2:0]
001 AIF1 DRC2 衰减音频信号的最小增益
000 = 0dB
001 = -12dB (默认)
010 = -18dB
011 = -24dB
100 = -36dB
101 = 保留
11X = 保留
   
1:0 AIF1DRC2_MA
XGAIN[1:0]
01 AIF1 DRC2 最大增益以增强音频信号 (dB)
00 = 12dB
01 = 18dB
10 = 24dB
11 = 36dB
   
R1106
(0452h)
AIF1 DRC2
(3)
AIF1 录音通道2设置3 15:12 AIF1DRC2_NG
_MINGAIN[3:0]
0000 AIF1 DRC2 当噪声门处于活动状态时衰减音频信号的最小增益。
0000 = -36dB
0001 = -30dB
0010 = -24dB
0011 = -18dB
0100 = -12dB
0101 = -6dB
0110 = 0dB
0111 = 6dB
1000 = 12dB
1001 = 18dB
1010 = 24dB
1011 = 30dB
1100 = 36dB
1101 to 1111 = 保留
   
11:10 AIF1DRC2_NG
_EXP[1:0]
00 AIF1 DRC2 噪声门斜率
00 = 1(无扩展)
01 = 2
10 = 4
11 = 8
   
9:8 AIF1DRC2_QR
_THR[1:0]
00 AIF1 DRC2 快速释放阈值(峰值因子以 dB 表示)
00 = 12dB
01 = 18dB
10 = 24dB
11 = 30dB
   
7:6 AIF1DRC2_QR
_DCY[1:0]
00 AIF1 DRC2 快速释放衰减率(秒/6dB)
00 = 0.725ms
01 = 1.45ms
10 = 5.8ms
11 = 保留
   
5:3 AIF1DRC2_HI_
COMP[2:0]
000 AIF1 DRC2 压缩斜率(上部区域)
000 = 1(无压缩)
001 = 1/2
010 = 1/4
011 = 1/8
100 = 1/16
101 = 0
110 = 保留
111 = 保留
   
2:0 AIF1DRC2_LO
_COMP[2:0]
000 AIF1 DRC2 压缩斜率(较低区域)
000 = 1(无压缩)
001 = 1/2
010 = 1/4
011 = 1/8
100 = 0
101 = 保留
11X = 保留
   
R1107
(0453h)
AIF1 DRC2
(4)
AIF1 录音通道2设置4 10:5 AIF1DRC2_KN
EE_IP[5:0]
00_0000 AIF1 DRC2 输入信号电平压缩阈值
000000 = 0dB
000001 = -0.75dB
000010 = -1.5dB
… (-0.75dB 步进)
111100 = -45dB
111101 = 保留
11111X = 保留
   
4:0 AIF1DRC2_KN
EE_OP[4:0]
0_0000 AIF1 DRC2 输出信号压缩阈值。
00000 = 0dB
00001 = -0.75dB
00010 = -1.5dB
… (-0.75dB 步进)
11110 = -22.5dB
11111 = 保留
   
R1108
(0454h)
AIF1 DRC2
(5)
AIF1 录音通道2设置5 9:5 AIF1DRC2_KN
EE2_IP[4:0]
0_0000 AIF1 DRC2 输入信号噪声门阈值电平2
00000 = -36dB
00001 = -37.5dB
00010 = -39dB
… (-1.5dB steps)
11110 = -81dB
11111 = -82.5dB
仅适用于DRC_NG_ENA = 1。
   
4:0 AIF1DRC2_KN
EE2_OP[4:0]
0_0000 AIF1 DRC2 输出信号噪声门阈值2
00000 = -30dB
00001 = -31.5dB
00010 = -33dB
… (-1.5dB 步进)
11110 = -75dB
11111 = -76.5dB
仅适用于DRC_KNEE2_OP_ENA = 1。
   
R1152
(0480h)
AIF1 DAC1
EQ Gains
(1)
AIF1 DAC1 EQ设置1 15:11 AIF1DAC1_EQ
_B1_GAIN[4:0]
0_1100 AIF1DAC1 (AIF1, 时隙 0) EQ 频段 1 增益
00000 = -12dB
00001 = -11dB

10111 = +11dB
11000 = +12dB
11001 to 11111 保留
   
10:6 AIF1DAC1_EQ
_B2_GAIN[4:0]
0_1100 AIF1DAC1 (AIF1, 时隙 0) EQ 频段 2 增益
00000 = -12dB
00001 = -11dB

10111 = +11dB
11000 = +12dB
11001 to 11111 保留
   
5:1 AIF1DAC1_EQ
_B3_GAIN[4:0]
0_1100 AIF1DAC1 (AIF1, 时隙 0) EQ 频段 3 增益
00000 = -12dB
00001 = -11dB

10111 = +11dB
11000 = +12dB
11001 to 11111 保留
   
0 AIF1DAC1_EQ
_ENA
0 在AIF1DAC1播放路径(AIF1,时隙)中启用EQ0)
0 = Disabled
1 = Enabled
   
R1153
(0481h)
AIF1 DAC1
EQ Gains
(2)
AIF1 DAC1 EQ设置2 15:11 AIF1DAC1_EQ
_B4_GAIN[4:0]
0_1100 AIF1DAC1 (AIF1, 时隙 0) EQ 频段 4 增益
00000 = -12dB
00001 = -11dB

10111 = +11dB
11000 = +12dB
11001 to 11111 保留
   
10:6 AIF1DAC1_EQ
_B5_GAIN[4:0]
0_1100 AIF1DAC1 (AIF1, 时隙 0) EQ 频段 5 增益
00000 = -12dB
00001 = -11dB

10111 = +11dB
11000 = +12dB
11001 to 11111 保留
   
R1154
(0482h)
AIF1 DAC1
EQ Band 1
A
AIF1 DAC1 EQ频段1系数A 15:0 AIF1DAC1_EQ
_B1_A[15:0]
0000_1111
_1100_101
0
均衡器频段1系数A    
R1155
(0483h)
AIF1 DAC1
EQ Band 1
B
AIF1 DAC1 EQ频段1系数B 15:0 AIF1DAC1_EQ
_B1_B[15:0]
0000_0100
_0000_000
0
均衡器频段1系数B    
R1156
(0484h)
AIF1 DAC1
EQ Band 1
PG
AIF1 DAC1 EQ频段1系数PG 15:0 AIF1DAC1_EQ
_B1_PG[15:0]
0000_0000
_1101_100
0
均衡器频段1系数PG    
R1157
(0485h)
AIF1 DAC1
EQ Band 2
A
AIF1 DAC1 EQ频段2系数A 15:0 AIF1DAC1_EQ
_B2_A[15:0]
0001_1110
_1011_010
1
均衡器频段2系数A    
R1158
(0486h)
AIF1 DAC1
EQ Band 2
B
AIF1 DAC1 EQ频段2系数B 15:0 AIF1DAC1_EQ
_B2_B[15:0]
1111_0001
_0100_010
1
均衡器频段2系数B    
R1159
(0487h)
AIF1 DAC1
EQ Band 2
C
AIF1 DAC1 EQ频段2系数C 15:0 AIF1DAC1_EQ
_B2_C[15:0]
0000_1011
_0111_010
1
均衡器频段2系数C    
R1160
(0488h)
AIF1 DAC1
EQ Band 2
PG
AIF1 DAC1 EQ频段2系数PG 15:0 AIF1DAC1_EQ
_B2_PG[15:0]
0000_0001
_1100_010
1
均衡器频段2系数PG    
R1161
(0489h)
AIF1 DAC1
EQ Band 3
A
AIF1 DAC1 EQ频段3系数A 15:0 AIF1DAC1_EQ
_B3_A[15:0]
0001_1100
_0101_100
0
均衡器频段3系数A    
R1162
(048Ah)
AIF1 DAC1
EQ Band 3
B
AIF1 DAC1 EQ频段3系数B 15:0 AIF1DAC1_EQ
_B3_B[15:0]
1111_0011
_0111_001
1
均衡器频段3系数B    
R1163
(048Bh)
AIF1 DAC1
EQ Band 3
C
AIF1 DAC1 EQ频段3系数C 15:0 AIF1DAC1_EQ
_B3_C[15:0]
0000_1010
_0101_010
0
均衡器频段3系数C    
R1164
(048Ch)
AIF1 DAC1
EQ Band 3
PG
AIF1 DAC1 EQ频段3系数PG 15:0 AIF1DAC1_EQ
_B3_PG[15:0]
0000_0101
_0101_100
0
均衡器频段3系数PG    
R1165
(048Dh)
AIF1 DAC1
EQ Band 4
A
AIF1 DAC1 EQ频段4系数A 15:0 AIF1DAC1_EQ
_B4_A[15:0]
0001_0110
_1000_111
0
均衡器频段4系数A    
R1166
(048Eh)
AIF1 DAC1
EQ Band 4
B
AIF1 DAC1 EQ频段4系数B 15:0 AIF1DAC1_EQ
_B4_B[15:0]
1111_1000
_0010_100
1
均衡器频段4系数B    
R1167
(048Fh)
AIF1 DAC1
EQ Band 4
C
AIF1 DAC1 EQ频段4系数C 15:0 AIF1DAC1_EQ
_B4_C[15:0]
0000_0111
_1010_110
1
均衡器频段4系数C    
R1168
(0490h)
AIF1 DAC1
EQ Band 4
PG
AIF1 DAC1 EQ频段4系数PG 15:0 AIF1DAC1_EQ
_B4_PG[15:0]
0001_0001
_0000_001
1
均衡器频段4系数PG    
R1169
(0491h)
AIF1 DAC1
EQ Band 5
A
AIF1 DAC1 EQ频段5系数A 15:0 AIF1DAC1_EQ
_B5_A[15:0]
0000_0101
_0110_010
0
均衡器频段5系数A    
R1170
(0492h)
AIF1 DAC1
EQ Band 5
B
AIF1 DAC1 EQ频段5系数B 15:0 AIF1DAC1_EQ
_B5_B[15:0]
0000_0101
_0101_100
1
均衡器频段5系数B    
R1171
(0493h)
AIF1 DAC1
EQ Band 5
PG
AIF1 DAC1 EQ频段5系数PG 15:0 AIF1DAC1_EQ
_B5_PG[15:0]
0100_0000
_0000_000
0
均衡器频段5系数PG    
R1184
(04A0h)
AIF1 DAC2
EQ Gains
(1)
AIF1 DAC2 EQ设置1 15:11 AIF1DAC2_EQ
_B1_GAIN[4:0]
0_1100 AIF1DAC2(AIF1,时隙1)EQ 频段 1增益
00000 = -12dB
00001 = -11dB

10111 = +11dB
11000 = +12dB
11001 to 11111 保留
   
10:6 AIF1DAC2_EQ
_B2_GAIN[4:0]
0_1100 AIF1DAC2(AIF1,时隙1)EQ 频段 2增益
00000 = -12dB
00001 = -11dB

10111 = +11dB
11000 = +12dB
11001 to 11111 保留
   
5:1 AIF1DAC2_EQ
_B3_GAIN[4:0]
0_1100 AIF1DAC2(AIF1,时隙1)EQ 频段 3增益
00000 = -12dB
00001 = -11dB

10111 = +11dB
11000 = +12dB
11001 to 11111 保留
   
0 AIF1DAC2_EQ
_ENA
0 在AIF1DAC2播放路径(AIF1,时隙)中启用EQ1)
0 = Disabled
1 = Enabled
   
R1185
(04A1h)
AIF1 DAC2
EQ Gains
(2)
AIF1 DAC2 EQ设置2 15:11 AIF1DAC2_EQ
_B4_GAIN[4:0]
0_1100 AIF1DAC2(AIF1,时隙1)EQ 频段 4增益
00000 = -12dB
00001 = -11dB

10111 = +11dB
11000 = +12dB
11001 to 11111 保留
   
10:6 AIF1DAC2_EQ
_B5_GAIN[4:0]
0_1100 AIF1DAC2(AIF1,时隙1)EQ 频段 5增益
00000 = -12dB
00001 = -11dB

10111 = +11dB
11000 = +12dB
11001 to 11111 保留
   
R1186
(04A2h)
AIF1 DAC2
EQ Band 1
A
AIF1 DAC2 EQ频段1系数A 15:0 AIF1DAC2_EQ
_B1_A[15:0]
0000_1111
_1100_101
0
均衡器频段1系数A    
R1187
(04A3h)
AIF1 DAC2
EQ Band 1
B
AIF1 DAC2 EQ频段1系数B 15:0 AIF1DAC2_EQ
_B1_B[15:0]
0000_0100
_0000_000
0
均衡器频段1系数B    
R1188
(04A4h)
AIF1 DAC2
EQ Band 1
PG
AIF1 DAC2 EQ频段1系数PG 15:0 AIF1DAC2_EQ
_B1_PG[15:0]
0000_0000
_1101_100
0
均衡器频段1系数PG    
R1189
(04A5h)
AIF1 DAC2
EQ Band 2
A
AIF1 DAC2 EQ频段2系数A 15:0 AIF1DAC2_EQ
_B2_A[15:0]
0001_1110
_1011_010
1
均衡器频段2系数A    
R1190
(04A6h)
AIF1 DAC2
EQ Band 2
B
AIF1 DAC2 EQ频段2系数B 15:0 AIF1DAC2_EQ
_B2_B[15:0]
1111_0001
_0100_010
1
均衡器频段2系数B    
R1191
(04A7h)
AIF1 DAC2
EQ Band 2
C
AIF1 DAC2 EQ频段2系数C 15:0 AIF1DAC2_EQ
_B2_C[15:0]
0000_1011
_0111_010
1
均衡器频段2系数C    
R1192
(04A8h)
AIF1 DAC2
EQ Band 2
PG
AIF1 DAC2 EQ频段2系数PG 15:0 AIF1DAC2_EQ
_B2_PG[15:0]
0000_0001
_1100_010
1
均衡器频段2系数PG    
R1193
(04A9h)
AIF1 DAC2
EQ Band 3
A
AIF1 DAC2 EQ频段3系数A 15:0 AIF1DAC2_EQ
_B3_A[15:0]
0001_1100
_0101_100
0
均衡器频段3系数A    
R1194
(04AAh)
AIF1 DAC2
EQ Band 3
B
AIF1 DAC2 EQ频段3系数B 15:0 AIF1DAC2_EQ
_B3_B[15:0]
1111_0011
_0111_001
1
均衡器频段3系数B    
R1195
(04ABh)
AIF1 DAC2
EQ Band 3
C
AIF1 DAC2 EQ频段3系数C 15:0 AIF1DAC2_EQ
_B3_C[15:0]
0000_1010
_0101_010
0
均衡器频段3系数C    
R1196
(04ACh)
AIF1 DAC2
EQ Band 3
PG
AIF1 DAC2 EQ频段3系数PG 15:0 AIF1DAC2_EQ
_B3_PG[15:0]
0000_0101
_0101_100
0
均衡器频段3系数PG    
R1197
(04ADh)
AIF1 DAC2
EQ Band 4
A
AIF1 DAC2 EQ频段4系数A 15:0 AIF1DAC2_EQ
_B4_A[15:0]
0001_0110
_1000_111
0
均衡器频段4系数A    
R1198
(04AEh)
AIF1 DAC2
EQ Band 4
B
AIF1 DAC2 EQ频段4系数B 15:0 AIF1DAC2_EQ
_B4_B[15:0]
1111_1000
_0010_100
1
均衡器频段4系数B    
R1199
(04AFh)
AIF1 DAC2
EQ Band 4
C
AIF1 DAC2 EQ频段4系数C 15:0 AIF1DAC2_EQ
_B4_C[15:0]
0000_0111
_1010_110
1
均衡器频段4系数C    
R1200
(04B0h)
AIF1 DAC2
EQ Band 4
PG
AIF1 DAC2 EQ频段4系数PG 15:0 AIF1DAC2_EQ
_B4_PG[15:0]
0001_0001
_0000_001
1
均衡器频段4系数PG    
R1201
(04B1h)
AIF1 DAC2
EQ Band 5
A
AIF1 DAC2 EQ频段5系数A 15:0 AIF1DAC2_EQ
_B5_A[15:0]
0000_0101
_0110_010
0
均衡器频段5系数A    
R1202
(04B2h)
AIF1 DAC2
EQ Band 5
B
AIF1 DAC2 EQ频段5系数B 15:0 AIF1DAC2_EQ
_B5_B[15:0]
0000_0101
_0101_100
1
均衡器频段5系数B    
R1203
(04B3h)
AIF1 DAC2
EQ Band 5
PG
AIF1 DAC2 EQ频段5系数PG 15:0 AIF1DAC2_EQ
_B5_PG[15:0]
0100_0000
_0000_000
0
均衡器频段5系数PG    
R1280
(0500h)
AIF2 ADC
Left Volume
AIF2 左ADC音量控制 8 AIF2ADC_VU 0 AIF2ADC 输出音量更新,向此位写入 1 将导致 AIF2ADCL 和 AIF2ADCR 音量同时更新    
7:0 AIF2ADCL_VO
L[7:0]
1100_0000 AIF2ADC(左)输出路径数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
EFh = +17.625dB
   
R1281
(0501h)
AIF2 ADC
Right
Volume
AIF2 右ADC音量控制 8 AIF2ADC_VU 0 AIF2ADC 输出音量更新,向此位写入 1 将导致 AIF2ADCL 和 AIF2ADCR 音量同时更新    
7:0 AIF2ADCR_VO
L[7:0]
1100_0000 AIF2ADC(右)输出路径数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
EFh = +17.625dB
   
R1282
(0502h)
AIF2 DAC
Left Volume
AIF2 左DAC音量控制 8 AIF2DAC_VU 0 AIF2DAC 输入音量更新,向此位写入 1 将导致 AIF2DACL 和 AIF2DACR 音量同时更新    
7:0 AIF2DACL_VO
L[7:0]
1100_0000 AIF2DAC(左)输入路径数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
C0h = 0dB
FFh = 0dB
   
R1283
(0503h)
AIF2 DAC
Right
Volume
AIF2 右DAC音量控制 8 AIF2DAC_VU 0 AIF2DAC 输入音量更新,向此位写入 1 将导致 AIF2DACL 和 AIF2DACR 音量同时更新    
7:0 AIF2DACR_VO
L[7:0]
1100_0000 AIF2DAC(右)输入路径数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
C0h = 0dB
FFh = 0dB
   
R1296 (0510h) AIF2 ADC
Filters
AIF2 ADC滤波 15 AIF2ADC_4FS 0 [没有可用的说明]    
14:13 AIF2ADC_HPF_C
UT[1:0]
00 AIF2ADC 输出路径 数字 HPF 截止频率(fc)
00 = Hi-fi 模式 (fc = 4Hz at fs = 48kHz)
01 = 语音模式 1 (fc = 127Hz at fs = 8kHz)
10 = 语音模式 2 (fc = 130Hz at fs = 8kHz)
11 = 语音模式 3 (fc = 267Hz at fs = 8kHz)
   
12 AIF2ADCL_HPF 0 AIF2ADC(左)输出路径 数字 HPF 启用
0 = Disabled
1 = Enabled
   
11 AIF2ADCR_HPF 0 AIF2ADC(右)输出路径 数字 HPF 启用
0 = Disabled
1 = Enabled
   
R1312
(0520h)
AIF2 DAC
Filters (1)
AIF2 DAC滤波1 9 AIF2DAC_MUT
E
1 AIF2DAC 输入路径软静音控制
0 = 取消静音
1 = 静音
   
7 AIF2DAC_MO
NO
0 AIF2DAC 输入路径单声道混合控制
0 = Disabled
1 = Enabled
   
5 AIF2DAC_MUT
ERATE
0 AIF2DAC 输入路径软静音斜率
0 = 快速斜率(fs/2,最大斜坡时间是 10.7ms,在fs=48k)
1 = 慢速斜率(fs/32,最大斜坡时间是 171ms,在fs=48k)
(注意:斜坡速率随采样率而缩放。)
   
4 AIF2DAC_UN
MUTE_RAMP
0 AIF2DAC 输入路径取消静音斜率选择
0 = 禁用软静音 (AIF2DAC_MUTE=0) 将导致立即更改为AIF2DACL_VOL的音量和AIF2DACR_VOL设置
1 = 禁用软静音 (AIF2DAC_MUTE=0) 将导致DAC 音量逐渐增大到AIF2DACL_VOL和AIF2DACR_VOL设置
   
2:1 AIF2DAC_DEE
MP[1:0]
00 AIF2DAC 输入路径去强调控制
00 = 无重强调
01 = 32kHz 采样率
10 = 44.1kHz 采样率
11 = 48kHz 采样率
   
R1313
(0521h)
AIF2 DAC
Filters (2)
AIF2 DAC滤波2 13:9 AIF2DAC_3D_
GAIN[4:0]
0_0000 AIF2DAC 播放路径 3D 立体声深度
00000 = 关闭
00001 = 最小值 (-16dB)
...(0.915dB 步长)
11111 = 最大值 ( 11.5dB)
   
8 AIF2DAC_3D_
ENA
0 在 AIF2DAC 播放路径中启用 3D 立体声
0 = Disabled
1 = Enabled
   
R1344
(0540h)
AIF2 DRC
(1)
AIF2 录音设置1 15:11 AIF2DRC_SIG
_DET_RMS[4:0
]
0_0000 AIF2 DRC Signal Detect RMS Threshold.
This is the RMS signal level for signal detect to be
indicated when AIF2DRC_SIG_DET_MODE=0.
00000 = -30dB
00001 = -31.5dB
…. (1.5dB steps)
11110 = -75dB
11111 = -76.5dB
   
10:9 AIF2DRC_SIG
_DET_PK[1:0]
00 AIF2 DRC 信号检测峰值阈值。
这是峰值/RMS 比率,或 Crest 因子,当AIF2DRC_SIG_DET_MODE=1时信号检测。
00 = 12dB
01 = 18dB
10 = 24dB
11 = 30dB
   
8 AIF2DRC_NG_
ENA
0 AIF2 DRC 噪声门启用
0 = Disabled
1 = Enabled
   
7 AIF2DRC_SIG
_DET_MODE
1 AIF2 DRC 信号检测模式
0 = RMS 阈值模式
1 = 峰值阈值模式
   
6 AIF2DRC_SIG
_DET
0 AIF2 DRC 信号检测启用
0 = Disabled
1 = Enabled
   
5 AIF2DRC_KNE
E2_OP_ENA
0 AIF2 DRC KNEE2_OP启用
0 = Disabled
1 = Enabled
   
4 AIF2DRC_QR 1 AIF2 DRC Quick-release 启用
0 = Disabled
1 = Enabled
   
3 AIF2DRC_ANT
ICLIP
1 AIF2 DRC Anti-clip 启用
0 = Disabled
1 = Enabled
   
2 AIF2DAC_DRC
_ENA
0 在 AIF2DAC 播放路径中启用 DRC
0 = Disabled
1 = Enabled
   
1 AIF2ADCL_DR
C_ENA
0 在 AIF2ADC(左)录音路径中启用 DRC
0 = Disabled
1 = Enabled
   
0 AIF2ADCR_DR
C_ENA
0 在 AIF2ADC(右)录音路径中启用 DRC
0 = Disabled
1 = Enabled
   
R1345
(0541h)
AIF2 DRC
(2)
AIF2 录音设置2 12:9 AIF2DRC_ATK
[3:0]
0100 AIF2 DRC 增益攻击率(秒/6dB)
0000 = 保留
0001 = 181us
0010 = 363us
0011 = 726us
0100 = 1.45ms
0101 = 2.9ms
0110 = 5.8ms
0111 = 11.6ms
1000 = 23.2ms
1001 = 46.4ms
1010 = 92.8ms
1011 = 185.6ms
1100-1111 = 保留
   
8:5 AIF2DRC_DCY
[3:0]
0010 AIF2 DRC 增益衰减率(秒/6dB)
0000 = 186ms
0001 = 372ms
0010 = 743ms
0011 = 1.49s
0100 = 2.97s
0101 = 5.94s
0110 = 11.89s
0111 = 23.78s
1000 = 47.56s
1001-1111 = 保留
   
4:2 AIF2DRC_MIN
GAIN[2:0]
001 AIF2 DRC Minimum gain to attenuate audio signals
000 = 0dB
001 = -12dB (default)
010 = -18dB
011 = -24dB
100 = -36dB
101 = 保留
11X = 保留
   
1:0 AIF2DRC_MAX
GAIN[1:0]
01 AIF2 DRC 增强音频信号最大增益 (dB)
00 = 12dB
01 = 18dB
10 = 24dB
11 = 36dB
   
R1346
(0542h)
AIF2 DRC
(3)
AIF2 录音设置3 15:12 AIF2DRC_NG_
MINGAIN[3:0]
0000 AIF2 DRC 当噪声门处于活动状态时衰减音频信号最小增益。
0000 = -36dB
0001 = -30dB
0010 = -24dB
0011 = -18dB
0100 = -12dB
0101 = -6dB
0110 = 0dB
0111 = 6dB
1000 = 12dB
1001 = 18dB
1010 = 24dB
1011 = 30dB
1100 = 36dB
1101 to 1111 = 保留
   
11:10 AIF2DRC_NG_
EXP[1:0]
00 AIF2 DRC 噪声门斜率
00 = 1(无扩展)
01 = 2
10 = 4
11 = 8
   
9:8 AIF2DRC_QR_
THR[1:0]
00 AIF2 DRC 快速释放阈值(峰值因子以 dB 表示)
00 = 12dB
01 = 18dB
10 = 24dB
11 = 30dB
   
7:6 AIF2DRC_QR_
DCY[1:0]
00 AIF2 DRC 快速释放衰减率(秒/6dB)
00 = 0.725ms
01 = 1.45ms
10 = 5.8ms
11 = 保留
   
5:3 AIF2DRC_HI_
COMP[2:0]
000 AIF2 DRC 压缩斜率(上部区域)
000 = 1(无压缩)
001 = 1/2
010 = 1/4
011 = 1/8
100 = 1/16
101 = 0
110 = 保留
111 = 保留
   
2:0 AIF2DRC_LO_
COMP[2:0]
000 AIF2 DRC 压缩斜率(较低区域)
000 = 1(无压缩)
001 = 1/2
010 = 1/4
011 = 1/8
100 = 0
101 = 保留
11X = 保留
   
R1347
(0543h)
AIF2 DRC
(4)
AIF2 录音设置4 10:5 AIF2DRC_KNE
E_IP[5:0]
00_0000 AIF2 DRC 输入信号电平位于压缩"阈值"处。
000000 = 0dB
000001 = -0.75dB
000010 = -1.5dB
… (-0.75dB 步进)
111100 = -45dB
111101 = 保留
11111X = 保留
   
4:0 AIF2DRC_KNE
E_OP[4:0]
0_0000 AIF2 DRC 输出信号在压缩"阈值"处。
00000 = 0dB
00001 = -0.75dB
00010 = -1.5dB
… (-0.75dB 步进)
11110 = -22.5dB
11111 = 保留
   
R1348
(0544h)
AIF2 DRC
(5)
AIF2 录音设置5 9:5 AIF2DRC_KNE
E2_IP[4:0]
0_0000 噪声门处的 AIF2 DRC 输入信号电平阈值"Knee2"。
00000 = -36dB
00001 = -37.5dB
00010 = -39dB
… (-1.5dB 步进)
11110 = -81dB
11111 = -82.5dB
仅适用于DRC_NG_ENA = 1。
   
4:0 AIF2DRC_KNE
E2_OP[4:0]
0_0000 噪声门阈值处的 AIF2 DRC 输出信号"Knee2"。
00000 = -30dB
00001 = -31.5dB
00010 = -33dB
… (-1.5dB 步进)
11110 = -75dB
11111 = -76.5dB
仅适用于DRC_KNEE2_OP_ENA = 1。
   
R1408
(0580h)
AIF2 EQ
Gains (1)
AIF2 EQ设置1 15:11 AIF2DAC_EQ_
B1_GAIN[4:0]
0_1100 AIF2 EQ 频段 1 增益    
10:6 AIF2DAC_EQ_
B2_GAIN[4:0]
0_1100 AIF2EQ 频段 2 增益    
5:1 AIF2DAC_EQ_
B3_GAIN[4:0]
0_1100 AIF2EQ 频段 3 增益    
0 AIF2DAC_EQ_
ENA
0 在 AIF2DAC 播放路径中启用 EQ
0 = Disabled
1 = Enabled
   
R1409 (0581h) AIF2 EQ
Gains (2)
AIF2 EQ设置2 15:11 AIF2DAC_EQ_
B4_GAIN[4:0]
0_1100 AIF2EQ 频段 4 增益    
10:6 AIF2DAC_EQ_
B5_GAIN[4:0]
0_1100 AIF2EQ 频段 5 增益    
R1410
(0582h)
AIF2 EQ
Band 1 A
AIF2 EQ频段1系数A 15:0 AIF2DAC_EQ_
B1_A[15:0]
0000_1111
_1100_101
0
EQ 频段 1 系数 A    
R1411
(0583h)
AIF2 EQ
Band 1 B
AIF2 EQ频段1系数B 15:0 AIF2DAC_EQ_
B1_B[15:0]
0000_0100
_0000_000
0
EQ 频段 1 系数 B    
R1412
(0584h)
AIF2 EQ
Band 1 PG
AIF2 EQ频段1系数PG 15:0 AIF2DAC_EQ_
B1_PG[15:0]
0000_0000
_1101_100
0
EQ 频段 1 系数 PG    
R1413
(0585h)
AIF2 EQ
Band 2 A
AIF2 EQ频段2系数A 15:0 AIF2DAC_EQ_
B2_A[15:0]
0001_1110
_1011_010
1
EQ 频段 2 系数 A    
R1414
(0586h)
AIF2 EQ
Band 2 B
AIF2 EQ频段2系数B 15:0 AIF2DAC_EQ_
B2_B[15:0]
1111_0001
_0100_010
1
EQ 频段 2 系数 B    
R1415
(0587h)
AIF2 EQ
Band 2 C
AIF2 EQ频段2系数C 15:0 AIF2DAC_EQ_
B2_C[15:0]
0000_1011
_0111_010
1
EQ 频段 2 系数 C    
R1416
(0588h)
AIF2 EQ
Band 2 PG
AIF2 EQ频段2系数PG 15:0 AIF2DAC_EQ_
B2_PG[15:0]
0000_0001
_1100_010
1
EQ 频段 2 系数 PG    
R1417
(0589h)
AIF2 EQ
Band 3 A
AIF2 EQ频段3系数A 15:0 AIF2DAC_EQ_
B3_A[15:0]
0001_1100
_0101_100
0
EQ 频段 3 系数 A    
R1418
(058Ah)
AIF2 EQ
Band 3 B
AIF2 EQ频段3系数B 15:0 AIF2DAC_EQ_
B3_B[15:0]
1111_0011
_0111_001
1
EQ 频段 3 系数 B    
R1419
(058Bh)
AIF2 EQ
Band 3 C
AIF2 EQ频段3系数C 15:0 AIF2DAC_EQ_
B3_C[15:0]
0000_1010
_0101_010
0
EQ 频段 3 系数 C    
R1420
(058Ch)
AIF2 EQ
Band 3 PG
AIF2 EQ频段3系数PG 15:0 AIF2DAC_EQ_
B3_PG[15:0]
0000_0101
_0101_100
0
EQ 频段 3 系数 PG    
R1421
(058Dh)
AIF2 EQ
Band 4 A
AIF2 EQ频段4系数A 15:0 AIF2DAC_EQ_
B4_A[15:0]
0001_0110
_1000_111
0
EQ 频段 4 系数 A    
R1422
(058Eh)
AIF2 EQ
Band 4 B
AIF2 EQ频段4系数B 15:0 AIF2DAC_EQ_
B4_B[15:0]
1111_1000
_0010_100
1
EQ 频段 4 系数 B    
R1423
(058Fh)
AIF2 EQ
Band 4 C
AIF2 EQ频段4系数C 15:0 AIF2DAC_EQ_
B4_C[15:0]
0000_0111
_1010_110
1
EQ 频段 4 系数 C    
R1424
(0590h)
AIF2 EQ
Band 4 PG
AIF2 EQ频段4系数PG 15:0 AIF2DAC_EQ_
B4_PG[15:0]
0001_0001
_0000_001
1
EQ 频段 4 系数 PG    
R1425
(0591h)
AIF2 EQ
Band 5 A
AIF2 EQ频段5系数A 15:0 AIF2DAC_EQ_
B5_A[15:0]
0000_0101
_0110_010
0
EQ 频段 5 系数 A    
R1426
(0592h)
AIF2 EQ
Band 5 B
AIF2 EQ频段5系数B 15:0 AIF2DAC_EQ_
B5_B[15:0]
0000_0101
_0101_100
1
EQ 频段 5 系数 B    
R1427
(0593h)
AIF2 EQ
Band 5 PG
AIF2 EQ频段5系数PG 15:0 AIF2DAC_EQ_
B5_PG[15:0]
0100_0000
_0000_000
0
EQ 频段 5 系数 PG    
R1536
(0600h)
DAC1 Mixer
Volumes
DAC1混音音量 8:5 ADC2_DAC1_
VOL[3:0]
0000  ST2侧音 到 DAC1L 和 DAC1R 音量(手机中用于抑制自己喇叭中听到自己说的话)
0000 = -36dB
0001 = -33dB
…. (3dB 步进)
1011 = -3dB
1100 = 0dB
侧音是通信术语,通常指在终端设备(例如电话机)中,发端信号经处理后,其中一部分回馈到自身接收电话的那部分信号。
在电话机中,原始话音通过送话器把声音信号转化为电信号,经通信线路一路传送到对方,一路回传到本方受话器,使讲话人能听到自己的声音,这就是侧音。
寄存器名称是混音,实际上设置的却是侧音抑制
 
3:0 ADC1_DAC1_
VOL[3:0]
0000  ST1侧音 到 DAC1L 和 DAC1R 音量(手机中用于抑制自己喇叭中听到自己说的话)
0000 = -36dB
0001 = -33dB
…. (3dB 步进)
1011 = -3dB
1100 = 0dB
R1537
(0601h)
DAC1 Left
Mixer
Routing
DAC1左混音路由设置 5 ADC2_TO_DA
C1L
0 将侧音 ST2 启用到 DAC1L
0 = Disabled
1 = Enabled
   
4 ADC1_TO_DA
C1L
0 将侧音 ST1 启用到 DAC1L
0 = Disabled
1 = Enabled
   
2 AIF2DACL_TO
_DAC1L
0 将 AIF2(左)启用到 DAC1L
0 = Disabled
1 = Enabled
   
1 AIF1DAC2L_T
O_DAC1L
0 将 AIF1(时隙 1,左侧)启用到 DAC1L
0 = Disabled
1 = Enabled
   
0 AIF1DAC1L_T
O_DAC1L
0 将 AIF1(时隙 0,左)启用到 DAC1L
0 = Disabled
1 = Enabled
   
R1538
(0602h)
DAC1 Right
Mixer
Routing
DAC1右混音路由设置 5 ADC2_TO_DA
C1R
0 将侧音 ST2 启用到 DAC1R
0 = Disabled
1 = Enabled
   
4 ADC1_TO_DA
C1R
0 将侧音 ST1 启用到 DAC1R
0 = Disabled
1 = Enabled
   
2 AIF2DACR_TO
_DAC1R
0 将 AIF2(右侧)启用到 DAC1R
0 = Disabled
1 = Enabled
   
1 AIF1DAC2R_T
O_DAC1R
0 将 AIF1(时隙 1,右侧)启用到 DAC1R
0 = Disabled
1 = Enabled
   
0 AIF1DAC1R_T
O_DAC1R
0 将 AIF1(时隙 0,右侧)启用到 DAC1R
0 = Disabled
1 = Enabled
   
R1539
(0603h)
DAC2 Mixer
Volumes
DAC2混音音量 8:5 ADC2_DAC2_
VOL[3:0]
0000 ST2侧音 到 DAC2L 和 DAC2R 音量(手机中用于抑制自己喇叭中听到自己说的话)
0000 = -36dB
0001 = -33dB
…. (3dB 步进)
1011 = -3dB
1100 = 0dB
  寄存器名称是混音,实际上设置的却是侧音抑制
3:0 ADC1_DAC2_
VOL[3:0]
0000 ST1侧音 到 DAC2L 和 DAC2R 音量(手机中用于抑制自己喇叭中听到自己说的话)
0000 = -36dB
0001 = -33dB
…. (3dB 步进)
1011 = -3dB
1100 = 0dB
R1540
(0604h)
DAC2 Left
Mixer
Routing
DAC2左混音路由设置 5 ADC2_TO_DA
C2L
0 将侧音 ST2 启用到 DAC2L
0 = Disabled
1 = Enabled
   
4 ADC1_TO_DA
C2L
0 将侧音 ST1 启用到 DAC2L
0 = Disabled
1 = Enabled
   
2 AIF2DACL_TO
_DAC2L
0 将 AIF2(左)启用到 DAC2L
0 = Disabled
1 = Enabled
   
1 AIF1DAC2L_T
O_DAC2L
0 将 AIF1(时隙 1,左侧)启用到 DAC2L
0 = Disabled
1 = Enabled
   
0 AIF1DAC1L_T
O_DAC2L
0 将 AIF1(时隙 0,左)启用到 DAC2L
0 = Disabled
1 = Enabled
   
R1541
(0605h)
DAC2 Right
Mixer
Routing
DAC2右混音路由设置 5 ADC2_TO_DA
C2R
0 将侧音 ST2 启用到 DAC2R
0 = Disabled
1 = Enabled
   
4 ADC1_TO_DA
C2R
0 将侧音 ST1 启用到 DAC2R
0 = Disabled
1 = Enabled
   
2 AIF2DACR_TO
_DAC2R
0 将 AIF2(右侧)启用到 DAC2R
0 = Disabled
1 = Enabled
   
1 AIF1DAC2R_T
O_DAC2R
0 将 AIF1(时隙 1,右侧)启用到 DAC2R
0 = Disabled
1 = Enabled
   
0 AIF1DAC1R_T
O_DAC2R
0 将 AIF1(时隙 0,右侧)启用到 DAC2R
0 = Disabled
1 = Enabled
   
R1542
(0606h)
AIF1 ADC1
Left Mixer
Routing
AIF1 ADC1左混音路由设置 1 ADC1L_TO_AI
F1ADC1L
0 启用 ADCL / DMIC1(左)到 AIF1(时隙 0,左侧)输出
0 = Disabled
1 = Enabled
   
0 AIF2DACL_TO
_AIF1ADC1L
0 将 AIF2(左)启用到 AIF1(时隙 0,左)输出
0 = Disabled
1 = Enabled
   
R1543
(0607h)
AIF1 ADC1
Right Mixer
Routing
AIF1 ADC1右混音路由设置 1 ADC1R_TO_AI
F1ADC1R
0 启用 ADCR / DMIC1(右)到 AIF1(时隙 0,右侧)输出
0 = Disabled
1 = Enabled
   
0 AIF2DACR_TO
_AIF1ADC1R
0 将 AIF2(右)启用到 AIF1(时隙 0,右侧)输出
0 = Disabled
1 = Enabled
   
R1544
(0608h)
AIF1 ADC2
Left Mixer
Routing
AIF1 ADC2左混音路由设置 1 ADC2L_TO_AI
F1ADC2L
0 将 DMIC2(左)启用到 AIF1(时隙 1,左侧)输出
0 = Disabled
1 = Enabled
   
0 AIF2DACL_TO
_AIF1ADC2L
0 将 AIF2(左)启用到 AIF1(时隙 1,左侧)输出
0 = Disabled
1 = Enabled
   
R1545
(0609h)
AIF1 ADC2
Right mixer
Routing
AIF1 ADC2右混音路由设置 1 ADC2R_TO_AI
F1ADC2R
0 将 DMIC2(右侧)启用到 AIF1(时隙 1,右侧)输出
0 = Disabled
1 = Enabled
   
0 AIF2DACR_TO
_AIF1ADC2R
0 将 AIF2(右侧)启用到 AIF1(时隙 1,右侧)输出
0 = Disabled
1 = Enabled
   
R1552
(0610h)
DAC1 Left
Volume
DAC1左音量 9 DAC1L_MUTE 1 DAC1L 软静音控制
0 = DAC 取消静音
1 = DAC 静音
   
8 DAC1_VU 0 DAC1L 和 DAC1R 音量更新,向此位写入 1 将导致 DAC1L 和 DAC1R 音量同时更新    
7:0 DAC1L_VOL[7:
0]
1100_0000 DAC1L 数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
C0h = 0dB
FFh = 0dB
   
R1553
(0611h)
DAC1 Right
Volume
DAC1右音量 9 DAC1R_MUTE 1 DAC1R 软静音控制
0 = DAC 取消静音
1 = DAC 静音
   
8 DAC1_VU 0 DAC1L 和 DAC1R 音量更新,向此位写入 1 将导致 DAC1L 和 DAC1R 音量同时更新    
7:0 DAC1R_VOL[7
:0]
1100_0000 DAC1R 数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
C0h = 0dB
FFh = 0dB
   
R1554
(0612h)
DAC2 Left
Volume
DAC2左音量 9 DAC2L_MUTE 1 DAC2L 软静音控制
0 = DAC 取消静音
1 = DAC 静音
   
8 DAC2_VU 0 DAC2L 和 DAC2R 音量更新,向此位写入 1 将导致 DAC2L 和 DAC2R 音量同时更新    
7:0 DAC2L_VOL[7:
0]
1100_0000 DAC2L 数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 步进)
C0h = 0dB
FFh = 0dB
   
R1555
(0613h)
DAC2 Right
Volume
DAC2右音量 9 DAC2R_MUTE 1 DAC2R 软静音控制
0 = DAC 取消静音
1 = DAC 静音
   
8 DAC2_VU 0 DAC2R 和 DAC2R 音量更新,向此位写入 1 将导致 DAC2R 和 DAC2R 音量同时更新    
7:0 DAC2R_VOL[7
:0]
1100_0000 DAC2R 数字音量
00h = 静音
01h = -71.625dB
… (0.375dB 音量)
C0h = 0dB
FFh = 0dB
   
R1556
(0614h)
DAC
Softmute
DAC软件静音设置 1 DAC_SOFTMU
TEMODE
0 DAC 取消静音斜率选择
0 = 禁用软静音 (DAC[1/2][L/R]_MUTE=0) 将导致 DAC 音量立即更改为DAC[1/2][L/R]_VOL设置
1 = 禁用软静音  (DAC[1/2][L/R]_MUTE=0)将导致 DAC 音量逐渐增大到DAC[1/2][L/R]_VOL 设置
   
0 DAC_MUTERA
TE
0 DAC 软静音斜坡速率
0 = 快速斜坡(fs\/2,最大斜坡时间是 10.7ms,在fs=48k)
1 = 慢速斜坡(fs\/32,最大斜坡时间是 171ms,在fs=48k)
(注意:斜坡速率随采样率而缩放。)
   
R1568
(0620h)
Oversampling 过采样设置 1 ADC_OSR128 0 ADC 过采样率选择
0 = 已禁用
1 = 已启用
对于 48kHz 采样速率,ADC_OSR128 = 1 时 ADC 过采样率为128fs。
当ADC_OSR128= 0时,ADC过采样速率为64fs。
   
0 DAC_OSR128 0 DAC 过采样率选择
0 = 已禁用
1 = 已启用
对于 48kHz 采样速率,DAC_OSR128 = 1 时,DAC 过采样率为128fs。
当DAC_OSR128 = 0时,DAC过采样率为64fs时。
   
R1569 (0621h) Sidetone 侧音设置 9:7 ST_HPF_CUT[2:0] 000 侧音 HPF 截止频率(相对于 44.1kHz 采样率)
000 = 2.7kHz
001 = 1.35kHz
010 = 675Hz
011 = 370Hz
100 = 180Hz
101 = 90Hz
110 = 45Hz
111 = 保留
注意 - 数字混合截止(SYSCLK) 时钟速率。引用的数字适用于 44.1kHz 采样速率。
   
6 ST_HPF 0 数字侧音 HPF 选择
0 = Disabled
1 = Enabled
   
1 ST2_SEL 0 选择侧音 ST2 路径的源
0 = DMIC2 (左)
1 = DMIC2 (右)
   
0 ST1_SEL 0 选择侧音 ST1 路径的源
0 = ADCL / DMIC1 (左)
1 = ADCR / DMIC1 (右)
   
R1792
(0700h)
GPIO 1 通用GPIO1设置 15 GP1_DIR 1 GPIO1 引脚方向
0 = Output
1 = Input
   
14 GP1_PU 0 GPIO1 上拉启用
0 = Disabled
1 = Enabled
   
13 GP1_PD 1 GPIO1 下拉启用
0 = Disabled
1 = Enabled
   
10 GP1_POL 0 GPIO1 极性选择
0 = 非反转(活动高)
1 = 反转(活动低)
   
9 GP1_OP_CFG 0 GPIO1 输出配置
0 = CMOS
1 = 开漏
   
8 GP1_DB 1 GPIO1 输入消抖
0 = Disabled
1 = Enabled
   
6 GP1_LVL 0 GPIO1 电平。写入此位以设置 GPIO 输出。
从此位读取 GPIO 输入电平。
设置GP1_POL时,GP1_DIR = 1 (GPIO)输入),GP1_LVL寄存器包含相反的外部引脚的逻辑电平。
   
4:0 GP1_FN[4:0] 0_0000 GPIO1 功能.
00000 = ADCLRCLK1
00001 = 逻辑电平 input / output(普通IO)
00010 = SDOUT
00011 = IRQ
00100 = 温度传感器状态
00101 = MICBIAS1 电流检测
00110 = MICBIAS1 短路检测
00111 = MICBIAS2 电流检测
01000 = MICBIAS2 短路检测
01001 = FLL1 Lock
01010 = FLL2 Lock
01011 = SRC1 Lock
01100 = SRC2 Lock
01101 = DRC1 (AIF1) 活动检测
01110 = DRC2 (AIF1) 活动检测
01111 = DRC3 (AIF2) 活动检测
10000 = 写入音序器状态
10001 = FIFO错误指示
10010 = OPCLK
10011 - 11111 = 保留
   
R1793
(0701h)
GPIO 2 通用GPIO2设置 14 GP2_PU 0 GPIO2 上拉启用
0 = Disabled
1 = Enabled
   
13 GP2_PD 1 GPIO2 下拉启用
0 = Disabled
1 = Enabled
   
10 GP2_POL 0 GPIO2 极性选择
0 = 非反转(活动高)
1 = 反转(活动低)
   
9 GP2_OP_CFG 0 GPIO2 输出配置
0 = CMOS
1 = 开漏
   
6 GP2_LVL 0 GPIO2 电平。从此位读取 GPIO 输入电平。
设置GP2_POL时,GP2_DIR = 1 (GPIO输入),GP2_LVL寄存器包含相反的外部引脚的逻辑电平。
   
4:0 GP2_FN[4:0] 0_0001 GPIO2 功能.
00000 = MCLK2
00001 = 逻辑电平输入
   
R1794
(0702h)
GPIO 3 通用GPIO3设置 15 GP3_DIR 1 GPIO3 引脚方向
0 = 输出
1 = 输入
   
14 GP3_PU 0 GPIO3 上拉启用
0 = Disabled
1 = Enabled
   
13 GP3_PD 1 GPIO3 下拉启用
0 = Disabled
1 = Enabled
   
10 GP3_POL 0 GPIO3 极性选择
0 = 非反转(活动高)
1 = 反转(活动低)
   
9 GP3_OP_CFG 0 GPIO3 输出配置
0 = CMOS
1 = 开漏
   
8 GP3_DB 1 GPIO3 输入消抖
0 = Disabled
1 = Enabled
   
6 GP3_LVL 0 GPIO3 电平。写入此位以设置 GPIO 输出。
从此位读取 GPIO 输入电平。设置GP3_POL时,GP3_DIR = 1 (GPIO输入),GP3_LVL寄存器包含相反的外部引脚的逻辑电平。
   
4:0 GP3_FN[4:0] 0_0001 GPIO3 功能.
00000 = BCLK2
00001 = 逻辑电平输入输出(通用IO)
00010 = SDOUT
00011 = IRQ
00100 = 温度传感器状态
00101 = MICBIAS1 电流检测
00110 = MICBIAS1 短路检测
00111 = MICBIAS2 电流检测
01000 = MICBIAS2 短路检测
01001 = FLL1 Lock
01010 = FLL2 Lock
01011 = SRC1 Lock
01100 = SRC2 Lock
01101 = DRC1 (AIF1) 活动检测
01110 = DRC2 (AIF1) 活动检测
01111 = DRC3 (AIF2) 活动检测
10000 = 写入音序器状态
10001 = FIFO错误指示
10010 = OPCLK
10011 - 11111 = 保留
   
R1795
(0703h)
GPIO 4 通用GPIO4设置 15 GP4_DIR 1 GPIO4 引脚方向
0 = 输出
1 = 输入
   
14 GP4_PU 0 GPIO4 上拉启用
0 = Disabled
1 = Enabled
   
13 GP4_PD 1 GPIO4 下拉启用
0 = Disabled
1 = Enabled
   
10 GP4_POL 0 GPIO4 极性选择
0 = 非反转(活动高)
1 = 反转(活动低)
   
9 GP4_OP_CFG 0 GPIO4 输出配置
0 = CMOS
1 = 开漏
   
8 GP4_DB 1 GPIO4 输入消抖
0 = Disabled
1 = Enabled
   
6 GP4_LVL 0 GPIO4 电平。写入此位以设置 GPIO 输出。
从此位读取 GPIO 输入电平。设置GP4_POL时,GP4_DIR = 1 (GPIO输入),GP4_LVL寄存器包含相反的外部引脚的逻辑电平。
   
4:0 GP4_FN[4:0] 0_0001 GPIO4 功能.
00000 = DACLRCLK2
00001 = 逻辑电平输入输出(通用IO)
00010 = SDOUT
00011 = IRQ
00100 = 温度传感器状态
00101 = MICBIAS1 电流检测
00110 = MICBIAS1 短路检测
00111 = MICBIAS2 电流检测
01000 = MICBIAS2 短路检测
01001 = FLL1 Lock
01010 = FLL2 Lock
01011 = SRC1 Lock
01100 = SRC2 Lock
01101 = DRC1 (AIF1) 活动检测
01110 = DRC2 (AIF1) 活动检测
01111 = DRC3 (AIF2) 活动检测
10000 = 写入音序器状态
10001 = FIFO错误指示
10010 = OPCLK
10011 - 11111 = 保留
   
R1796
(0704h)
GPIO 5 通用GPIO5设置 15 GP5_DIR 1 GPIO5 Pin Direction
0 = Output
1 = Input
   
14 GP5_PU 0 GPIO5 上拉启用
0 = Disabled
1 = Enabled
   
13 GP5_PD 1 GPIO5 下拉启用
0 = Disabled
1 = Enabled
   
10 GP5_POL 0 GPIO5 极性选择
0 = 非反转(活动高)
1 = 反转(活动低)
   
9 GP5_OP_CFG 0 GPIO5 输出配置
0 = CMOS
1 = 开漏
   
8 GP5_DB 1 GPIO5 输入消抖
0 = Disabled
1 = Enabled
   
6 GP5_LVL 0 GPIO5 电平。写入此位以设置 GPIO 输出。从此位读取 GPIO 输入电平。设置GP5_POL时,GP5_DIR = (GPIO输入),GP5_LVL寄存器包含相反的外部引脚的逻辑电平。    
4:0 GP5_FN[4:0] 0_0001 GPIO5 功能.
00000 = DACDAT2
00001 = 逻辑电平输入输出(通用IO)
00010 = SDOUT
00011 = IRQ
00100 = 温度传感器状态
00101 = MICBIAS1 电流检测
00110 = MICBIAS1 短路检测
00111 = MICBIAS2 电流检测
01000 = MICBIAS2 短路检测
01001 = FLL1 Lock
01010 = FLL2 Lock
01011 = SRC1 Lock
01100 = SRC2 Lock
01101 = DRC1 (AIF1) 活动检测
01110 = DRC2 (AIF1) 活动检测
01111 = DRC3 (AIF2) 活动检测
10000 = 写入音序器状态
10001 = FIFO错误指示
10010 = OPCLK
10011 - 11111 = 保留
   
R1797
(0705h)
GPIO 6 通用GPIO6设置 15 GP6_DIR 1 GPIO6 引脚方向
0 = 输出
1 = 输入
   
14 GP6_PU 0 GPIO6 上拉启用
0 = Disabled
1 = Enabled
   
13 GP6_PD 1 GPIO6 下拉启用
0 = Disabled
1 = Enabled
   
10 GP6_POL 0 GPIO6 极性选择
0 = 非反转(活动高)
1 = 反转(活动低)
   
9 GP6_OP_CFG 0 GPIO6 输出配置
0 = CMOS
1 = 开漏
   
8 GP6_DB 1 GPIO6 输入消抖
0 = Disabled
1 = Enabled
   
6 GP6_LVL 0 GPIO6 电平。写入此位以设置 GPIO 输出。从此位读取 GPIO 输入电平。设置GP6_POL时,GP6_DIR = 1 (GPIO)输入),GP6_LVL寄存器包含相反的外部引脚的逻辑电平。    
4:0 GP6_FN[4:0] 0_0001 GPIO6 功能.
00000 = ADCLRCLK2
00001 = 逻辑电平输入输出(通用IO)
00010 = SDOUT
00011 = IRQ
00100 = 温度传感器状态
00101 = MICBIAS1 电流检测
00110 = MICBIAS1 短路检测
00111 = MICBIAS2 电流检测
01000 = MICBIAS2 短路检测
01001 = FLL1 Lock
01010 = FLL2 Lock
01011 = SRC1 Lock
01100 = SRC2 Lock
01101 = DRC1 (AIF1) 活动检测
01110 = DRC2 (AIF1) 活动检测
01111 = DRC3 (AIF2) 活动检测
10000 = 写入音序器状态
10001 = FIFO错误指示
10010 = OPCLK
10011 - 11111 = 保留
   
R1798
(0706h)
GPIO 7 通用GPIO7设置 15 GP7_DIR 1 GPIO7 Pin Direction
0 = Output
1 = Input
   
14 GP7_PU 0 GPIO7 上拉启用
0 = Disabled
1 = Enabled
   
13 GP7_PD 1 GPIO7 下拉启用
0 = Disabled
1 = Enabled
   
10 GP7_POL 0 GPIO7 极性选择
0 = 非反转(活动高)
1 = 反转(活动低)
   
9 GP7_OP_CFG 0 GPIO7 输出配置
0 = CMOS
1 = 开漏
   
8 GP7_DB 1 GPIO7 输入消抖
0 = Disabled
1 = Enabled
   
6 GP7_LVL 0 GPIO7 电平。写入此位以设置 GPIO 输出。从此位读取 GPIO 输入电平。设置GP7_POL时,GP7_DIR = 1 (GPIO)输入),GP7_LVL寄存器包含相反的外部引脚的逻辑电平。    
4:0 GP7_FN[4:0] 0_0001 GPIO7 功能.
00000 = ADCDAT2
00001 = 逻辑电平输入输出(通用IO)
00010 = SDOUT
00011 = IRQ
00100 = 温度传感器状态
00101 = MICBIAS1 电流检测
00110 = MICBIAS1 短路检测
00111 = MICBIAS2 电流检测
01000 = MICBIAS2 短路检测
01001 = FLL1 Lock
01010 = FLL2 Lock
01011 = SRC1 Lock
01100 = SRC2 Lock
01101 = DRC1 (AIF1) 活动检测
01110 = DRC2 (AIF1) 活动检测
01111 = DRC3 (AIF2) 活动检测
10000 = 写入音序器状态
10001 = FIFO错误指示
10010 = OPCLK
10011 - 11111 = 保留
   
R1799
(0707h)
GPIO 8 通用GPIO8设置 15 GP8_DIR 1 GPIO8 引脚方向
0 = Output
1 = Input
   
14 GP8_PU 0 GPIO8 上拉启用
0 = Disabled
1 = Enabled
   
13 GP8_PD 1 GPIO8 下拉启用
0 = Disabled
1 = Enabled
   
10 GP8_POL 0 GPIO8 极性选择
0 = 非反转(活动高)
1 = 反转(活动低)
   
9 GP8_OP_CFG 0 GPIO8 输出配置
0 = CMOS
1 = 开漏
   
8 GP8_DB 1 GPIO8 输入消抖
0 = Disabled
1 = Enabled
   
6 GP8_LVL 0 GPIO8 电平。写入此位以设置 GPIO 输出。从此位读取 GPIO 输入电平。设置GP8_POL时,GP8_DIR = 1 (GPIO输入),GP8_LVL寄存器包含相反的外部引脚的逻辑电平。    
4:0 GP8_FN[4:0] 0_0001 GPIO8 功能.
00000 = DACDAT3
00001 = 逻辑电平输入输出(通用IO)
00010 = SDOUT
00011 = IRQ
00100 = 温度传感器状态
00101 = MICBIAS1 电流检测
00110 = MICBIAS1 短路检测
00111 = MICBIAS2 电流检测
01000 = MICBIAS2 短路检测
01001 = FLL1 Lock
01010 = FLL2 Lock
01011 = SRC1 Lock
01100 = SRC2 Lock
01101 = DRC1 (AIF1) 活动检测
01110 = DRC2 (AIF1) 活动检测
01111 = DRC3 (AIF2) 活动检测
10000 = 写入音序器状态
10001 = FIFO错误指示
10010 = OPCLK
10011 - 11111 = 保留
   
R1800
(0708h)
GPIO 9 通用GPIO9设置 15 GP9_DIR 1 GPIO9 引脚方向
0 = 输出
1 = 输入
   
14 GP9_PU 0 GPIO9 Pull-Up Enable
0 = Disabled
1 = Enabled
   
13 GP9_PD 1 GPIO9 Pull-Down Enable
0 = Disabled
1 = Enabled
   
10 GP9_POL 0 GPIO9极性 Select
0 = Non-inverted (Active High)
1 = Inverted (Active Low)
   
9 GP9_OP_CFG 0 GPIO9 Output Configuration
0 = CMOS
1 = 开漏
   
8 GP9_DB 1 GPIO9 输入消抖
0 = Disabled
1 = Enabled
   
6 GP9_LVL 0 GPIO9 电平。写入此位以设置 GPIO 输出。从此位读取 GPIO 输入电平。设置GP9_POL时,GP9_DIR = 1 (GPIO输入),GP9_LVL寄存器包含相反的外部引脚的逻辑电平。    
4:0 GP9_FN[4:0] 0_0001 GPIO9 功能.
00000 = ADCDAT3
00001 = 逻辑电平输入输出(通用IO)
00010 = SDOUT
00011 = IRQ
00100 = 温度传感器状态
00101 = MICBIAS1 电流检测
00110 = MICBIAS1 短路检测
00111 = MICBIAS2 电流检测
01000 = MICBIAS2 短路检测
01001 = FLL1 Lock
01010 = FLL2 Lock
01011 = SRC1 Lock
01100 = SRC2 Lock
01101 = DRC1 (AIF1) 活动检测
01110 = DRC2 (AIF1) 活动检测
01111 = DRC3 (AIF2) 活动检测
10000 = 写入音序器状态
10001 = FIFO错误指示
10010 = OPCLK
10011 - 11111 = 保留
   
R1801
(0709h)
GPIO 10 通用GPIO10设置 15 GP10_DIR 1 GPIO10 引脚方向
0 = 输出
1 = 输入
   
14 GP10_PU 0 GPIO10 上拉启用
0 = Disabled
1 = Enabled
   
13 GP10_PD 1 GPIO10 Pull-Down Enable
0 = Disabled
1 = Enabled
   
10 GP10_POL 0 GPIO10极性 Select
0 = Non-inverted (Active High)
1 = Inverted (Active Low)
   
9 GP10_OP_CF
G
0 GPIO10 Output Configuration
0 = CMOS
1 = 开漏
   
8 GP10_DB 1 GPIO10 输入消抖
0 = Disabled
1 = Enabled
   
6 GP10_LVL 0 GPIO10 电平。写入此位以设置 GPIO 输出。从此位读取 GPIO 输入电平。设置GP10_POL时,GP10_DIR = 1 (GPIO)输入),GP10_LVL寄存器包含相反的外部引脚的逻辑电平。    
4:0 GP10_FN[4:0] 0_0001 GPIO10 功能.
00000 = LRCLK3
00001 = 逻辑电平输入输出(通用IO)
00010 = SDOUT
00011 = IRQ
00100 = 温度传感器状态
00101 = MICBIAS1 电流检测
00110 = MICBIAS1 短路检测
00111 = MICBIAS2 电流检测
01000 = MICBIAS2 短路检测
01001 = FLL1 Lock
01010 = FLL2 Lock
01011 = SRC1 Lock
01100 = SRC2 Lock
01101 = DRC1 (AIF1) 活动检测
01110 = DRC2 (AIF1) 活动检测
01111 = DRC3 (AIF2) 活动检测
10000 = 写入音序器状态
10001 = FIFO错误指示
10010 = OPCLK
10011 - 11111 = 保留
   
R1802
(070Ah)
GPIO 11 通用GPIO11设置 15 GP11_DIR 1 GPIO11 引脚方向
0 = 输出
1 = 输入
   
14 GP11_PU 0 GPIO11 上拉启用
0 = Disabled
1 = Enabled
   
13 GP11_PD 1 GPIO11 下拉启用
0 = Disabled
1 = Enabled
   
10 GP11_POL 0 GPIO11 极性选择
0 = 非反转(活动高)
1 = 反转(活动低)
   
9 GP11_OP_CF
G
0 GPIO11 输出配置
0 = CMOS
1 = 开漏
   
8 GP11_DB 1 GPIO11 输入消抖
0 = Disabled
1 = Enabled
   
6 GP11_LVL 0 GPIO11 电平。写入此位以设置 GPIO 输出。从此位读取 GPIO 输入电平。设置GP11_POL时,GP11_DIR = 1 (GPIO输入),GP11_LVL寄存器包含相反的外部引脚的逻辑电平。    
4:0 GP11_FN[4:0] 0_0001 GPIO11 功能.
00000 = BCLK3
00001 = 逻辑电平输入输出(通用IO)
00010 = SDOUT
00011 = IRQ
00100 = 温度传感器状态
00101 = MICBIAS1 电流检测
00110 = MICBIAS1 短路检测
00111 = MICBIAS2 电流检测
01000 = MICBIAS2 短路检测
01001 = FLL1 Lock
01010 = FLL2 Lock
01011 = SRC1 Lock
01100 = SRC2 Lock
01101 = DRC1 (AIF1) 活动检测
01110 = DRC2 (AIF1) 活动检测
01111 = DRC3 (AIF2) 活动检测
10000 = 写入音序器状态
10001 = FIFO错误指示
10010 = OPCLK
10011 - 11111 = 保留
   
R1824
(0720h)
Digital Pulls 上下拉电阻设置 11 DMICDAT2_P
U
0 DMICDAT2 上拉启用
0 = Disabled
1 = Enabled
   
10 DMICDAT2_P
D
0 DMICDAT2 下拉启用
0 = Disabled
1 = Enabled
   
9 DMICDAT1_P
U
0 DMICDAT1 上拉启用
0 = Disabled
1 = Enabled
   
8 DMICDAT1_P
D
0 DMICDAT1 下拉启用
0 = Disabled
1 = Enabled
   
7 MCLK1_PU 0 MCLK1 上拉电阻器启用
0 = 上拉已禁用
1 = 上拉已启用
   
6 MCLK1_PD 0 MCLK1 下拉电阻器启用
0 = 下拉禁用
1 = 已启用下拉
   
5 DACDAT1_PU 0 DACDAT1 上拉电阻启用
0 = 上拉已禁用
1 = 上拉已启用
   
4 DACDAT1_PD 0 DACDAT1 下拉电阻启用
0 = 下拉禁用
1 = 已启用下拉
   
3 DACLRCLK1_
PU
0 LRCLK1 上拉电阻启用
0 = 上拉已禁用
1 = 上拉已启用
   
2 DACLRCLK1_
PD
0 LRCLK1 下拉电阻启用
0 = 下拉禁用
1 = 已启用下拉
   
1 BCLK1_PU 0 BCLK1 上拉电阻启用
0 = 上拉已禁用
1 = 上拉已启用
   
0 BCLK1_PD 0 BCLK1 下拉电阻启用
0 = 下拉禁用
1 = 已启用下拉
   
R1840
(0730h)
Interrupt
Status 1
中断状态1 10 GP11_EINT 0 GPIO11 中断状态
0 = GPIO11 未设置中断
1 = GPIO11 中断已经设置
注意:写入"1"时清除。
   
9 GP10_EINT 0 GPIO10 中断状态
0 = GPIO10 未设置中断
1 = GPIO10 中断已经设置
注意:写入"1"时清除。
   
8 GP9_EINT 0 GPIO9 中断状态
0 = GPIO9 未设置中断
1 = GPIO9 中断已经设置
注意:写入"1"时清除。
   
7 GP8_EINT 0 GPIO8 中断状态
0 = GPIO8 未设置中断
1 = GPIO8 中断已经设置
注意:写入"1"时清除。
   
6 GP7_EINT 0 GPIO7 中断状态
0 = GPIO7 未设置中断
1 = GPIO7 中断已经设置
注意:写入"1"时清除。
   
5 GP6_EINT 0 GPIO6 中断状态
0 = GPIO6 未设置中断
1 = GPIO6 中断已经设置
注意:写入"1"时清除。
   
4 GP5_EINT 0 GPIO5 中断状态
0 = GPIO5 未设置中断
1 = GPIO5 中断已经设置
注意:写入"1"时清除。
   
3 GP4_EINT 0
GPIO4 中断状态
0 = GPIO4 未设置中断
1 = GPIO4 中断已经设置
注意:写入"1"时清除。
   
2 GP3_EINT 0 GPIO3 中断状态
0 = GPIO3 未设置中断
1 = GPIO3 中断已经设置
注意:写入"1"时清除。
   
1 GP2_EINT 0 GPIO2 中断状态
0 = GPIO2 未设置中断
1 = GPIO2 中断已经设置
注意:写入"1"时清除。
   
0 GP1_EINT 0 GPIO1 中断状态
0 = GPIO1 未设置中断
1 = GPIO1 中断已经设置
注意:写入"1"时清除。
   
R1841
(0731h)
Interrupt
Status 2
中断状态2 13 WSEQ_DONE
_EINT
0 写入序列器 IRQ 状态
0 = 写入序列器 IRQ 未设置
1 = 写入序列器 IRQ 已设置
注意:写入"1"时清除。
   
12 FIFOS_ERR_E
INT
0 数字核心 FIFO 错误 IRQ 状态
0 = 未设置 FIFO 错误 IRQ
1 = FIFO 错误 IRQ 设置
注意:写入"1"时清除。
   
11 DRC3_ACTDE
T_EINT
0 DRC3 活动检测 IRQ 状态
0 = DRC3 活动检测 IRQ 未设置
1 = DRC3 活动检测 IRQ 已设置
注意:写入"1"时清除。
   
10 DRC2_ACTDE
T_EINT
0 DRC2 活动检测 IRQ status
0 = DRC2 活动检测 IRQ 未设置
1 = DRC2 活动检测 IRQ 已设置
注意:写入"1"时清除。
   
9 DRC1_ACTDE
T_EINT
0 DRC1 活动检测 IRQ 状态
0 = DRC1 活动检测 IRQ 未设置
1 = DRC1 活动检测 IRQ 已设置
注意:写入"1"时清除。
   
8 SRC2_LOCK_
EINT
0 SRC2 锁定 IRQ 状态
0 = 未设置 SRC2 锁定 IRQ
1 = SRC2 锁定 IRQ 设置
注意:写入"1"时清除。
   
7 SRC1_LOCK_
EINT
0 SRC1 锁定 IRQ 状态
0 = 未设置 SRC1 锁定 IRQ
1 = SRC1 锁定 IRQ 设置
注意:写入"1"时清除。
   
6 FLL2_LOCK_E
INT
0 FLL2 锁定 IRQ 状态
0 = 未设置 FLL2 锁定 IRQ
1 = FLL2 锁定 IRQ 设置
注意:写入"1"时清除。
   
5 FLL1_LOCK_E
INT
0 FLL1 锁定 IRQ 状态
0 = FLL1 锁定 IRQ 未设置
1 = FLL1 锁定 IRQ 设置
注意:写入"1"时清除。
   
4 MIC2_SHRT_E
INT
0 MICBIAS2 IRQ 状态
0 = 短路电流 IRQ 未设置
1 = 短路电流 IRQ 设置
注意:写入"1"时清除。
   
3 MIC2_DET_EI
NT
0 MICBIAS2 电流检测 IRQ 状态
0 = 电流检测 IRQ 未设置
1 = 电流检测 IRQ 设置
注意:写入"1"时清除。
   
2 MIC1_SHRT_E
INT
0 MICBIAS1 短路检测 IRQ 状态
0 = 短路电流 IRQ 未设置
1 = 短路电流 IRQ 设置
注意:写入"1"时清除。
   
1 MIC1_DET_EI
NT
0 MICBIAS1 电流检测 IRQ 状态
0 = 电流检测 IRQ 未设置
1 = 电流检测 IRQ 设置
注意:写入"1"时清除。
   
0 TEMP_SHUT_
EINT
0 关机温度(温度保护) IRQ 状态
0 = 未设置关机温度 IRQ
1 = 关机温度 IRQ 设置
注意:写入"1"时清除。
   
R1848
(0738h)
Interrupt
Status 1
Mask
中断1屏蔽设置 10 IM_GP11_EIN
T
1 GPIO11 的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
   
9 IM_GP10_EIN
T
1 GPIO10 的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
   
8 IM_GP9_EINT 1 GPIO9 的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
   
7 IM_GP8_EINT 1 GPIO8 的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
   
6 IM_GP7_EINT 1 GPIO7 的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
   
5 IM_GP6_EINT 1 GPIO6 的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
   
4 IM_GP5_EINT 1 GPIO5 的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
   
3 IM_GP4_EINT 1 GPIO4 的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
   
2 IM_GP3_EINT 1 GPIO3 的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
   
1 IM_GP2_EINT 1 GPIO2 的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
   
0 IM_GP1_EINT 1 GPIO1 的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
   
R1849
(0739h)
Interrupt
Status 2
Mask
中断2屏蔽设置 13 IM_WSEQ_DO
NE_EINT
1 写入序列器的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
   
12 IM_FIFOS_ER
R_EINT
1 数字核心 FIFO 错误的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
   
11 IM_DRC3_ACT
DET_EINT
1 DRC3 活动检测中断屏蔽
0 = 未屏蔽
1 = 屏蔽
   
10 IM_DRC2_ACT
DET_EINT
1  DRC2 活动检测中断屏蔽
0 = 未屏蔽
1 = 屏蔽
   
9 IM_DRC1_ACT
DET_EINT
1 DRC1 活动检测中断屏蔽
0 = 未屏蔽
1 = 屏蔽
   
8 IM_SRC2_LOC
K_EINT
1 用于 SRC2 锁定的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
   
7 IM_SRC1_LOC
K_EINT
1 用于 SRC1 锁定的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
   
6 IM_FLL2_LOC
K_EINT
1 用于 FLL2 锁定的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
   
5 IM_FLL1_LOC
K_EINT
1 用于 FLL1 锁定的中断屏蔽
0 = 未屏蔽
1 = 屏蔽
   
4 IM_MIC2_SHR
T_EINT
1 MICBIAS2 短路检测中断屏蔽
0 = 未屏蔽
1 = 屏蔽
   
3 IM_MIC2_DET
_EINT
1 MICBIAS2 电流检测中断屏蔽
0 = 未屏蔽
1 = 屏蔽
   
2 IM_MIC1_SHR
T_EINT
1 MICBIAS1 短路检测中断屏蔽
0 = 未屏蔽
1 = 屏蔽
   
1 IM_MIC1_DET
_EINT
1 MICBIAS1 电流检测中断屏蔽
0 = 未屏蔽
1 = 屏蔽
   
0 IM_TEMP_SH
UT_EINT
1 关机温度的中断掩膜
0 = 未屏蔽
1 = 屏蔽
   
R1856
(0740h)
Interrupt
Control
中断控制 0 IRQ_POL 0 中断 (IRQ) 极性
0 = 活动高
1 = 活动低
   
R1864
(0748h)
IRQ Debounce 中断IRQ消抖 4 MIC2_SHRT_D
B
1 MICBIAS2 短路检测消抖
0 = disabled
1 = enabled
   
3 MIC2_DET_DB 1 MICBIAS2 电流检测消抖
0 = disabled
1 = enabled
   
2 MIC1_SHRT_D
B
1 MICBIAS1 短路检测消抖
0 = disabled
1 = enabled
   
1 MIC1_DET_DB 1 MICBIAS1 电流检测消抖
0 = disabled
1 = enabled
   
0 TEMP_SHUT_
DB
1 热关机消抖(温度保护检测消抖)
0 = disabled
1 = enabled
   
R1865
(0749h)
IRQ Polarity 中断IRQ信号极性设置 13 WSEQ_DONE
_POL
0 写入序列器极性
0 = 活动高(当控制序列为忙)
1 = 活动低
   
12 FIFOS_ERR_P
OL
0 FIFO 误差极性
0 = 活动高(在 FIFO 错误条件下断言)
1 = 活动低
   
11 DRC3_ACTDE
T_POL
0 DRC3 活动检测极性
0 = 活动高(当 检测到 DRC3 活动)
1 = 活动低
   
10 DRC2_ACTDE
T_POL
0 DRC2 活动检测极性
0 = 活动高(当检测到 DRC2 活动)
1 = 活动低
   
9 DRC1_ACTDE
T_POL
0 DRC1 活动检测极性
0 = 活动高(检测到 DRC1 活动时断言)
1 = 活动低
   
8 SRC2_LOCK_
POL
0 SRC2 Lock极性
0 = 活动高(SRC2 锁定时断言)
1 = 活动低
   
7 SRC1_LOCK_
POL
0 SRC1 Lock极性
0 = 活动高(SRC1 锁定时断言)
1 = 活动低
   
6 FLL2_LOCK_P
OL
0 FLL2 Lock极性
0 = active high (asserted when FLL2 locked)
1 = active low
   
5 FLL1_LOCK_P
OL
0 FLL1 Lock极性
0 = 活动高(FLL1 锁定时断言)
1 = 活动低
   
4 MIC2_SHRT_P
OL
0 MICBIAS2 短路检测极性
0 = 活动高(超过MICD_SCTHR时断言)
1 = 活动低
   
3 MIC2_DET_PO
L
0 MICBIAS2 电流检测极性
0 = 活动高(超过MICD_THR时断言)
1 = 活动低
   
2 MIC1_SHRT_P
OL
0 MICBIAS1 Short Circuit极性
0 = 活动高(超过MICD_SCTHR时断言)
1 = 活动低
   
1 MIC1_DET_PO
L
0 MICBIAS1 电流检测极性
0 = 活动高(超过MICD_THR时断言)
1 = 活动低
   
0 TEMP_SHUT_
POL
0 热关闭(热保护)中断极性
0 = 活动高(当温度阈值超过时断言)
1 = 活动低
   
发布了143 篇原创文章 · 获赞 370 · 访问量 81万+

猜你喜欢

转载自blog.csdn.net/cp1300/article/details/104293449