全国大学生电子设计竞赛综合测评——常用电路Multisim仿真——数字芯片74LS74构建分频器设计

2017年综合测评仿真电路讲解:https://blog.csdn.net/DengFengLai123/article/details/99290583

题目和结果链接:https://blog.csdn.net/DengFengLai123/article/details/97615940

常用电路Multisim仿真——方波、三角波振荡电路:https://blog.csdn.net/DengFengLai123/article/details/99082281

常用电路Multisim仿真——有源低通滤波器设计:https://blog.csdn.net/DengFengLai123/article/details/99093135

常用电路Multisim仿真——数字芯片74LS74构建分频器设计:https://blog.csdn.net/DengFengLai123/article/details/99238311

常用电路Multisim仿真——仪器仪表使用:https://blog.csdn.net/DengFengLai123/article/details/99241034

一、74LS74芯片简介
74LS74 芯片含有两个 D触发器 U1 和 U2,每个 D触发器可以组成一个二分频
电路,使用 +5V 供电时输出方波幅值为 5V,使用 10V 供电时输出方波为 10V。输入使用TTL电平,大于 2.4V 以上才认为是高电平,这里是经常犯错的点之一,综合测评常常要求第一步的方波幅值为 1V,而使用该方波进行分频时对于 74LS74 来说都认为是低电平,识别不出是一个方波,所以分频后输出为一个直流,解决方法为将分压得到1V方波前的幅值较高的同频方波作为驱动信号输入 74LS74。

二、分频原理
把 U1 的 ~Q1 输出端接到 D1 输入端, 需要分频的信号输入 时钟信号输入端 CLK1,这样每来一次 CLK1 脉冲 使 D触发器的状态就会翻转一次(输出高电平转为低电平 或 输出低电平转为高电平),所以来两次 CLK1 脉冲就会使 D 触发器输出一个完整的正方波(一个高电平一个低电平), 实现了信号二分频。

同理,D触发器U2也可以搭建一个 二分频电路,两者串联,将 U1 二分频后的输出 Q 连接到U2 的时钟驱动 CLK2,即可再次二分频,实现四分频。

三、仿真电路
输入 20 KHz,输出 5 KHz,四分频。
连接方式:
待分频信号 <——> 1CLK
1D <——> ~1Q
得到二分频信号 1Q(~1Q也是得到的二分频信号,与 1Q 正好高低电平相反)

1Q <——> 2CLK
2D <——> ~2Q
得到四分频信号 2Q(~2Q也是得到的二分频信号,与 2Q 正好高低电平相反)
在这里插入图片描述

四、仿真波形
CH1:20 KHz 待分频信号,高电平+10V,低电平 -10V,对于 74LS74 来说可以识别出高低电平;
CH2:10 KHz 二分频信号,高电平+5V(供电电源VCC),低电平 0V;
CH3:5 KHz 四分频信号,高电平+5V(供电电源VCC),低电平 0V;
CH4:5 KHz 四分频信号,高电平+1V(电阻分压得到),低电平 0V;
在这里插入图片描述

五、说明
不提供仿真源文件,如需指导讲解综合测评题目请联系博主QQ:3053749041

博主原创相关文章

2017年综合测评仿真电路讲解:https://blog.csdn.net/DengFengLai123/article/details/99290583

题目和结果链接:https://blog.csdn.net/DengFengLai123/article/details/97615940

常用电路Multisim仿真——方波、三角波振荡电路:https://blog.csdn.net/DengFengLai123/article/details/99082281

常用电路Multisim仿真——有源低通滤波器设计:https://blog.csdn.net/DengFengLai123/article/details/99093135

常用电路Multisim仿真——数字芯片74LS74构建分频器设计:https://blog.csdn.net/DengFengLai123/article/details/99238311

常用电路Multisim仿真——仪器仪表使用:https://blog.csdn.net/DengFengLai123/article/details/99241034

发布了27 篇原创文章 · 获赞 54 · 访问量 6万+

猜你喜欢

转载自blog.csdn.net/DengFengLai123/article/details/99238311