联合数组在UVM中

联合数组是SystemVerilog中定义的一种非常有用的数据类型,在验证平台中经常使用。UVM对其提供了良好的支持,与联合数组相关的uvm_field宏有:

`define  uvm_field_aa_int_string(ARG, FLAG)

`define  uvm_field_aa_string_string(ARG, FLAG)

`define  uvm_field_aa_object_string(ARG, FLAG)

`define  uvm_field_aa_int_int(ARG, FLAG)

……

联合数组有两大识别标志,一是索引的类型,二是存储数据的类型。

在这一系列uvm_field系列宏中,出现的第一个类型是存储数据类型,第二个类型是索引类型,如uvm_field_aa_int_string用于声明那些存储的数据是int,而其索引是string类型的联合数组。

猜你喜欢

转载自www.cnblogs.com/camellia3371----/p/12048855.html
今日推荐