ModelSim仿真时钟波形一直是不定态(X状态,值为X)

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接: https://blog.csdn.net/Mr_liu_666/article/details/102516870

在initia里面必须给时钟赋初始值,注意:

这样儿不叫初始值 

initial 
begin
#1sys_clk <= 'b0;
#1sys_rst_n <= 'b1;
end

这样儿才叫初始值

initial 
begin
sys_clk <= 'b0;
sys_rst_n <= 'b1;
end

必须得把0时刻的值给出来,否则always里面来回跳转,是对不定态跳转,自然就是不定态了 

猜你喜欢

转载自blog.csdn.net/Mr_liu_666/article/details/102516870