linux安装virtualenvwrapper ,windows 安装 virtualenvwrapper

版权声明:欢迎大家进群交流,QQ群166477105, 所有非原创内容来自网络,如有侵权联系我删除 https://blog.csdn.net/weixin_43063753/article/details/87459617

**实测linux平台 centos7:**

步骤1:安装virtualenvwrapper
pip3 install virtualenvwrapper

步骤2:设置Linux的环境变量,每次启动就加载virtualenvwrapper

把下面两行代码添加到 ~/.bashrc文件中
打开文件
vim ~/.bashrc
写入以下两行代码
export WORKON_HOME=~/Envs   #设置virtualenv的统一管理目录
export VIRTUALENVWRAPPER_VIRTUALENV_ARGS='--no-site-packages'   #添加virtualenvwrapper的参数,生成干净隔绝的环境
export VIRTUALENVWRAPPER_PYTHON=/opt/python347/bin/python3     #指定python解释器
source /opt/python34/bin/virtualenvwrapper.sh #执行virtualenvwrapper安装脚本
读取文件,使得生效,此时已经可以使用virtalenvwrapper
source ~/.bashrc

步骤3:基本使用virtualenvwrapper

创建一个虚拟环境:
$ mkvirtualenv my_django115
这会在 ~/Envs 中创建 my_django115 文件夹。

在虚拟环境上工作:激活虚拟环境my_django115
$ workon my_django115


再创建一个新的虚拟环境
$ mkvirtualenv my_django2

virtualenvwrapper 提供环境名字的tab补全功能。
当有很多环境, 并且很难记住它们的名字时,这就显得很有用。

workon还可以任意停止你当前的环境,可以在多个虚拟环境中来回切换
workon django1.15

workon django2.0

也可以手动停止虚拟环境
deactivate

删除虚拟环境,需要先退出虚拟环境
rmvirtualenv my_django115

步骤四:常用其他命令

lsvirtualenv
列举所有的环境。
cdvirtualenv
导航到当前激活的虚拟环境的目录中,比如说这样您就能够浏览它的 site-packages 。
cdsitepackages
和上面的类似,但是是直接进入到 site-packages 目录中。
lssitepackages
显示 site-packages 目录中的内容。

完整官网介绍:https://virtualenvwrapper.readthedocs.io/en/latest/command_ref.html

**实测windows7平台:**

一、安装 pip install virtualenvwrapper-win 1 二、设置位置 系统环境变量新建变量名WORKON_HOME,变量值为虚拟环境文件夹所存放的位置 (如果设置虚拟工作路径不生效,那么就在你的python解释器的D:\Python36\Scripts目录下修改 ::set "venvwrapper._default_workon_home=%USERPROFILE%\Envs" 将 %USERPROFILE%改为你想存放的虚拟环境的位置 例如我的 set "venvwrapper._default_workon_home=E:\Python_project\AllEnvs\Envs" ) 三、新建和激活 新建虚拟环境mkvirtualenv 1313直接激活

deactivate会取消激活

workon 1313会直接激活

不同版本的虚拟环境
创建虚拟环境方式一
在这里插入图片描述
在这里插入图片描述
创建虚拟环境方式二

mkvirtualenv --python=C:\Users\kyle\AppData\Local\Programs\Python\python27\python.exe py27(这是虚拟环境的名字,)

mkvirtualenv --python=C:\Users\kyle\AppData\Local\Programs\Python\Python36\python.exe SDXSpy36

打workon可以看到当前所有的虚拟环境

猜你喜欢

转载自blog.csdn.net/weixin_43063753/article/details/87459617