用doxygen风格注释代码生成文档

目录

用doxygen风格注释代码生成文档

1. 说明

目前由代码生成文档的方式将使项目变得简单,同时生成的文档也会将与代码同步起来。要注意文档的规范性,所以可以采用doxygen自动生成文档。下面通过操作对文档的注释进行一下总结。

2. 具体操作

因为我们用的vscode的,可以下载Doxygen Documentation Generator插件。

当安装上插件后可以进行使用了。

2.1 生成头部注释

生成头部注释很简单

便会自动出现下面的注释

注释函数我们也可以采用这种方式

/**
 * @file testdoxygen.c
 * @author your name ([email protected])
 * @brief 
 * @version 0.1
 * @date 2019-01-23
 * 
 * @copyright Copyright (c) 2019
 * 
 */

#include "stdio.h"

/**
 * @brief 测试文件1
 * 
 */
void test1(void)
{
    
}

/**
 * @brief 测试第二个例子
 * 
 * @param a 
 * @param b 
 * @return int 
 */
int test2(int a,int b)
{
    return a+b;
}

/**
 * @brief 测试第三个例子
 * 
 * @return true 
 * @return false 
 */
bool test3(void)
{
    return false;
}


该代码编写完成后就可以用doxygen生成代码说明了。

2.2 安装doxygen

下载链接:http://www.doxygen.nl/

下载完成就可以点击安装了,下一步,下一步直接安装,安装完成就可以直接打开进行查看。

2.3 工程配置

打开后就可以配置工程了

设置代码抽取及优化模式

设置输入

设置配图方案

生成文档

生成后可以看到html文件夹和rtf文件夹

打开html文件夹进入index.html

这样就生成了工程代码的描述文档。

3. 总结

写代码的时候一定要规范,所以在写代码的过程中,一定需要添加注释,按照doxygen风格生成的注释,可以给他人查阅,并且调用相关的api即可进行使用。这是一个非常好的习惯,软件工程师值得好好学习。

猜你喜欢

转载自www.cnblogs.com/bigmagic/p/10308874.html