[vcs]file list 寫法

vcs 通過吃file list 對 bench 進行 compile,那file list有哪些需要注意的呢?

1. 先寫top_define的絕對路徑,它主要包括env要用的define和macro;

2. 加入uvm library

      +incdir+/proj/UVM/uvm/latest/src/

      +incdir+/proj/UVM/uvm/latest/src/dpi/

3. 加入design 相關的庫文件的路徑;

4. 加入rtl 的路徑;

5. 加入assertion 和bind 文件

6. 加入ENV的文件路徑

    +incdir+/proj/uvm_xxx_top/sim/uvm/agents/a_agent

扫描二维码关注公众号,回复: 4966148 查看本文章

     /proj/uvm_xxx_top/sim/uvm/agents/a_agent/a_pkg.sv

    +incdir+/proj/uvm_xxx_top/sim/uvm/env

    /proj/uvm_xxx_top/sim/uvm/env/glb_cfg_pkg.sv

     +incdir+/proj/uvm_xxx_top/sim/uvm/ral_mdl

    /proj/uvm_xxx_top/sim/uvm/ral_mdl/ral_mdl_pkg.sv

   +incdir+/proj/uvm_xxx_top/sim/uvm/vseq

   /proj/uvm_xxx_top/sim/uvm/vseq/vseq_pkg.sv 

   +incdir+/proj/uvm_xxx_top/sim/uvm/env

    /proj/uvm_xxx_top/sim/uvm/env/env_pkg.sv

  +incdir+/proj/uvm_xxx_top/sim/uvm/testcase

    /proj/uvm_xxx_top/sim/uvm/env/testcase_pkg.sv

  +incdir+/proj/uvm_xxx_top/sim/uvm/top_module

    /proj/uvm_xxx_top/sim/uvm/env/top.sv

猜你喜欢

转载自blog.csdn.net/lbt_dvshare/article/details/86535600
VCS
今日推荐