modelsim仿真出现 already declared in this scope 问题

modelsim仿真出现 already declared in this scope 问题

使用环境: ISE 14.7 + Modelsim SE-64 10.1c 联合仿真

问题如下

出错截图

出错前的代码

解决办法:
需要在端口中,对信号进行先声明,把端口外的声明去掉,解决如下:
在这里插入图片描述

猜你喜欢

转载自blog.csdn.net/shishu8385/article/details/82982559
今日推荐