Vivado2018.1使用报错记录

版权声明:本文为博主原创文章,未经博主允许不得转载。 https://blog.csdn.net/qq_40818798/article/details/85132086

在使用vivado做两位十进制数倒计时动态显示时,出现以下错误。

提示错误:

[DRC NSTD-1] Unspecified I/O Standard: 4 out of 34 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1].  NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: seg_cs[4], seg_cs[3], sm_duan[0], and sm_duan_ge[0].

检查XDC文件: 


解决:将约束文件的后面注释文字去掉,应该是不允许在XDC后加注释吧。

猜你喜欢

转载自blog.csdn.net/qq_40818798/article/details/85132086