Error (suppressible): (vsim-3009) [TSCALE] - Module 'h2a_tb' does not have a timeunit/timeprecision

今天在Modelsim仿真时发生的错误报告,原因是没有按照测试文件的要求写*** timerscale***
之后在测试文件添加了timerscale就解决了。由于之前是直接使用ISE生成测试文件故在Modelsim编写测试文件时忘记了这个问题。示例

猜你喜欢

转载自blog.csdn.net/emperor_strange/article/details/84581045
今日推荐