基于HLS实现FIR滤波器--转载我之前的blog的内容

受人之拖要做个HLS的高级综合,找了半天,一开始打算做一个FFT,但是一同操作下来,发现难度太大了,回来做一个简单的硬件的矩阵相乘,发现难度太低,老师不答应做,于是挑了一个FIR滤波器,于是有开始拾起本科时学的滤波器原理,发现好多都忘记了因此接来下就做一个FIR滤波器,下面是我的任务书:

设计(论文)题目:基于HLS实现FIR滤波器。

设计(论文)的主要内容

1)查找并学习资料,重点是官方的参考手册ug871-vivado-high-level-synthesis-tutorial.pdf。

2)了解FIR(Finite Impulse Response)滤波器的原理:有限长单位冲激响应滤波器,利用FPGA乘累加的快速算法,可以设计出高速的FIR数字滤波器

3)编写fir实现代码,和testbench来执行C-RTL协同仿真,利用Xilinx Vivado HLS来实现综合。将软件代码转成RTL级的电路。在软件代码中加入一些时序约束和优化,比如添加流水线来提高数据处理的吞吐量。

4)将C-RTL协同仿真仿真实现的波形文件导入modelsim来观察波形,验证其功能。

5)最后将HLS工程打包成一个IP,以便vivado进行调用。

猜你喜欢

转载自blog.csdn.net/Laplace666/article/details/83827054