Verilog操作符(逻辑操作符,位操作符,递减操作符Reduction Operators)

1,Verilog逻辑操作符

逻辑操作符

功能

A与B的运算

C与D的运算

&&

逻辑与

A&&B= 0

C&&D= 1

| |

逻辑或

A| |B= 1

C| |D= 1

逻辑非

! A= 0      ! B= 1

! C= 0       ! D= 0

A=1'b1                                 B=1'b0                               C=4'b1001                                D=4'b1010

本文引用自https://blog.csdn.net/llxxyy507/article/details/82223296

2,Verilog位操作符

位操作符

功能

A与B的运算

C与D的运算

&

按位与

A&B= 0

C&D= 1000

按位或

A | B=1

C | D= 1011

~

按位取反

~A=0      ~B=1

~C= 0110       ~D= 0101

A=1'b1                                 B=1'b0                               C=4'b1001                                D=4'b1010

3,Verilog递减操作符

递减操作符

功能

A与B的运算

C与D的运算

&

&A=1    &B=0

&C= 0   &D= 0

|A=1      |B=0

|C= 1  |D= 1

^

异或

~C= 0       ~D= 0

A=1'b1                                 B=1'b0                               C=4'b1001                                D=4'b1010

    递减操作符(Reduction Operators)为一目运算符,后面跟一变量,如“&C”表示将C的第一位与第二位相与,再将结果与第三位相与,再与第四位.......一直到最后一位。

猜你喜欢

转载自blog.csdn.net/llxxyy507/article/details/82223296
今日推荐