后端软件介绍

版权声明:未经允许请不要转发 https://blog.csdn.net/qq_34110120/article/details/82497868

后端有两家比较厉害,无论是算法理论还是软件都有两套,各有自己的侧重点,synopsys和candance,相比较来说前者偏向数字方面做得比较好,比如综合,后者偏向模拟,对于布局布线这方面做得比较好。下面按照后端流程中所使用的软件分别介绍(只介绍自己用的)。

UNS:这个主要是进行网表的检查,发现网表中的错误,这个工作在前端中也可以通过nlint来进行检查,错误主要包括:多驱动,悬空,三态门使用错误........,

ccd(Conformal Constraint Designer):这个是candance的sdc检查工具,主要检查sdc的设置不合理,命令使用错误,命令冲突....等错误。

pt:这个阶段我们可以采用多种的分析方式(MMMC)来进行时序分析,检查setup和holdup,分析时序违约的路径,将结果输出成报告,

tweaker:这个工具根据时序报告进行时序收敛的修复,可以采用的方法:1.换不同vt的cell2.插buffer3.换不同强度的cell4.split fanout.

lec:形式验证工具,这个主要是通过数学方法进行验证,主要是测试两个keypoint点之间输入输出逻辑功能是否一致,保证function一致。

encouter-->innovus(icc是candance的工具) :数字版图工具,这个工具可以吃网表,约束,upf,可以进行布局布线,然后产生时序报告,这个时序报告没有pt准确,然后根据报告fix path。

calibre(mentor公司):https://wenku.baidu.com/view/aeb39b9c14791711cd79172e.html  通过这个工具我们可以进行lvs,drc,等验证其符合设计要求。

 Virtuoso:IC617是Cadence知名的Virtuoso定制芯片设计工具套件,在定制芯片设计中占据统治地位——Virtuoso在全定制芯片(Full Custom)和AMS(Analog Mixed Signal)混合信号芯片/版图(Layout)工具市场上占据接近80%的市场份额!Virtuoso是绝大多数定制芯片设计用户所寻求的工具(EDA of Choice)。Cadence在定制芯片设计市场上的影响如此之大,以至于各个主流芯片代工厂商Foundries基本上都专门为其开发PDK供给芯片设计客户使用。有许多人将IC Virtuoso这工具套件用作纯粹模拟/射频设计用途,这其实只用到了其中的一部分能力。Virtuoso最大的功用还是在混合信号(数字模拟——即Mixed Signal)芯片设计上,但也可以用作全定制数字(Full Custom Digital)芯片设计(是的,Virtuoso可以用来设计全定制数字芯片!——这就是为何Virtuoso包含了Verilog/VHDL以及Digital Implemantion等工具/功能在内。国内芯片设计业似乎大都对此并不是很清楚)!Virtuoso包括了前端到后端的全流程设计功能。与其他工具如多模仿真工具和物理验证工具等结合在一起使用构成了完整的定制芯片设计流程。需要指出的是Cadence每月都对其EDA工具中的某些工具进行升级,包括程序中的错误修正(bug fixings)和功能改进(很多都是用户建议的改进)等。大部分新功能的增加或旧功能的去除都是逐步渐进式(incremental)通过Hotfix版完成的。对Virtuoso来说通常是二至三个月出版一个Hotfix版进行升级。https://www.cnblogs.com/hwBeta/p/6509425.html

   Virtuoso的ADE是模拟设计和SPICE仿真图形界面事实上的业界标准。正因为如此,Cadence采取了不少手段以从中赚取更多利润。例如Cadence从IC6.1版起,将“标称值”SPICE仿真任务(单一特定条件与排错)与“多重”SPICE仿真任务(corners,sweeps,Monte Carlo)分离开来,形成一个三重价格体系模型再加上一个以每日每次使用记价的令牌(token)系统,让用户从ADE-L到ADE-XL再到ADE-GXL一级一级地支付更多的license许可证费用。而这一切nominal/corners/sweeps/monte carlo在旧版IC5.1.41中用户是一同完成的,并且还没有令牌系统在其中跟你玩。这个L-XL-GXL分离自然而然地惹恼了不少用户,给了竞争对手在定制芯片设计EDA上一个翻身的机会。只可惜其主要竞争对手不给力,基本没有拿出过硬的EDA产品来翻盘。例如Synopsys多年前发布的对应竞争工具Custom Designer就是一个不折不扣的失败尝试,虽然Custom Designer做得看上去像一个Virtuoso超级集合,但并没有因此赢得多少用户。现在Synopsys经过几年的收购(包括SpringSoft的Laker全套定制工具家族,Ciranova的Helix placer,和Magma的整套东西)后,将所有这些购进的东西打包整合在一起成为它新的Custom Compiler工具。所以Custom Compiler就是一个Laker加上Helix加上其他一些东西的重新包装,要用这样产品来吸引原本就异常稳固的Virtuoso庞大用户群基本盘恐怕是非常艰难的搏斗。在Mentor Graphics方面,也是通过收购诸如Pyxis,Tanner和Berkeley Design Automation(BDA)来试图渗透进定制芯片设计市场,但这些工具都缺乏类似Virtuoso中的ADE和layout版图等工具相似且完整的功能。Pyxis现在的方向基本上是做MEMS。而Tanner虽然给Mentor Graphics带来了一些客户,但绝大多数是低端设计或者是那些要便宜EDA工具的小公司。BDA的ACE看上去有希望,但还缺少类似Virtuoso的ADE那样的完整性能。总之,在定制芯片设计EDA市场上Cadence的Virtuoso基本盘非常稳固,其竞争对手都比较弱。而且新版ADE将旧版ADE中分离的标称值/corners/sweeps/蒙特卡罗/参数对比等功能都整合在ADE Explorer中,如此对芯片设计用户来说也就更具吸引力了。

laker:版图设计工具

https://wenku.baidu.com/view/bb4616dcb9f3f90f76c61b4a.html

猜你喜欢

转载自blog.csdn.net/qq_34110120/article/details/82497868