[SystemVerilog]Write log in file

在simulation时把指定内容写入特定文件中,方便debug~~

integer log_file;
log_file = $fopen($sformatf(“sram%0d_buffer.log”,cc_id),”a+”);
$fwrite(log_file,”%0t”,$realtime);
$fclose(log_file);

猜你喜欢

转载自blog.csdn.net/lbt_dvshare/article/details/81460342