Power Analysis and Optimization功率分析与优化

Xilinx学习FPGA——Power Analysis and Optimization功率分析与优化


前言

目标:1、使用Vivado®Design Suite power Report实用程序估计功耗;2、对设计进行电源优化。

功耗是一个非常重要的术语,FPGA的功耗被认为是动态的。这意味着当所有资源都在使用时,功耗可能会更高,而当资源不被使用时,功耗可能会更低。当所有FPGA资源未被使用时,节省功耗非常重要,并且了解每个资源(例如触发器)是否随每个时钟改变状态也很重要,因为这会消耗更多功耗。仿真结果网表文件,即交换活动交换格式(SAIF)文件,提供了设计活动信息。使用SAIF文件导入此信息对于生成最准确的最坏情况功耗估计至关重要。获得准确的功率估计的一个重要因素是设计活动必须是现实的。Xilinx Power Estimator工具(XPE)是一个功率估计工具,通常用于项目的预设计和预实现阶段。建议在合成后的每个阶段估计功率


一、影响功耗的因素:

  1. 输出负载和电源电压
  2. 系统性能(即开关频率)
  3. 设计密度(即interconncs)
  4. 设计活动(即,互连切换的百分比)
  5. 逻辑块和互连结构

二、功率报告Vivado Power Report

1.Vivado Power Report组成

Vivado Power Report是一个用于估计FPGA功耗和结温的实用程序。它读取设计的实现信息和功率分析的时序约束。
为了使Power Report更准确,用户应提供时钟频率、网络、逻辑元件和输出引脚的活动率、输出引脚上的容性负载、电源数据和环境温度等信息,以及来自仿真的详细设计活动数据,这是一个SAIF文件。
功耗报表”计算总平均功耗,生成该报表。在给定准确的活动率的情况下,该报告的准确度在+/- 10%以内。

2.Vivado Power Report选项

Vivado电源报告报告了不同电压电源的热信息以及静态和动态功率。它验证了XPE电子表格中给出的估计的准确性,并分析和检查了可以降低功耗的区域的数据。它使设计人员能够覆盖由Vivado Design Suite制作的默认活动设置,并生成设计功耗报告,并将其添加到整个项目文档中。Power Report有不同的选项,用户可以使用这些选项自定义报告:

  1. Environment选项卡允许用户添加有关设备和环境设置的信息。
  2. “电源”选项卡允许用户添加应用于每个电源轨的电压信息。
  3. Switching选项卡指定活动文件saif)、切换速率和设备资源的静态概率。
  4. Output选项卡允许用户选择他们的输出格式。它可以是文本文件、XPE文件或RPX文件。输出文本文件指定用于写入功率结果的ASCIl文件的名称。XPE文件指定要导入到Xilinx Power Estimator电子表格中的XML文件的名称。RPX文件将报告功率命令的结果写入指定的报告文件(RPX)。
    

Report_Power

二、Power Report显示两个主要部分:摘要summary和利用率utilization

1.Summary

摘要部分提供热和电源结果的概述。它计算一个估计的结温和热裕度,并提供报告,设置和热信息在一个实用程序中,如图所示。报告的热性能包括估计结温,有效θ JA和热裕度。随着模拟活动数据(.saif)的加入,置信水平得到了提高。
Power Report

2.Utilization

“利用率”部分按资源类型显示功耗。电源被划分为设备资源、时钟和设计层次。

  • Hierarchy选项允许您按照顶层和低层块划分功耗。
    Hierarchy

“时钟域”选项允许您验证时钟资源的功耗。设计人员应该为所有时钟输入准确的时钟约束,因为它们被Vivado设计套件用于功率估计。
clock_domain

“资源类型”选项允许您将功耗划分为不同类型的资源。这很有用,因为一些专用硬件(如块RAM)具有一些易于验证的低功耗特性;
resource_type

扫描二维码关注公众号,回复: 17137533 查看本文章

。I/O类型选项允许您将功耗划分为设计使用的各种I/O标准。
I/O_type

三、功率优化

Vivado设计套件提供了各种电源优化,以减少动态功率高达30%的设计。

  1. ASIC风格的时钟门控技术:该技术使用时钟使能对切换节点进行门控,并在不影响设计功能的情况下最小化切换活动。例如,智能时钟门控创建了额外的门控逻辑,还将专用块RAM的功耗降至最低。
  2. 在实现设置中选择启用选项。这使得电源优化可以作为实现的一部分运行。
  3. 设置功率优化约束:在运行功率优化之前,用户可以通过选择或取消选择需要进行功率优化的单元格来设置功率优化约束。set_power_opt命令提供了包括或排除单元类型、层次结构级别或时钟域以进行电源优化的选项。
  4. 在Vivado design Suite中还有一些功耗优化Tcl命令,如power_opt designreport power opt等。power opt design命令使用智能时钟门控优化动态功率,report_power_opt命令报告功率优化。

总结

  1. Vivado电源报告可以包括活动率信息,以更好地计算平均功耗这比手动输入估计的活动率信息(或默认信息)更容易,也更有效关联一个电源活动文件(SAIF),使工具能够优化系统的动态功耗
  2. “Vivado功耗报告”提供了按层次结构、时钟域、资源类型和l/O功耗划分的功耗报告
  3. Vivado设计套件还支持电源优化报告,使您能够确定设计已进行了多少电源优化

猜你喜欢

转载自blog.csdn.net/qq_41403946/article/details/131517974