光刻机的原理和技术路线概览

一、简介

        集成电路产业被誉为现代“工业粮食”,引领未来科学技术产业革命的发展,带动世界前沿技术创新发展。 集成电路产业是社会发展的先导产业,主要包括半导体材料、装备、芯片制造、封装测试、电路设计等重要环节。 随着工业智能制造和电子信息技术的快速发展,集成电路特别是集成电路制造的重要性不断增强。 集成电路制造的特点之一是学科交叉,它是电子科学、计算机科学、通信技术、机械制造等多学科交叉的集合。 而光刻机就是学科交叉特征的典型代表。

        集成电路产业主要包含半导体材料、设备、芯片制造、封装测试、电路设计等重要流程。 其中半导体材料涉及晶圆材料生长、特种气体、特殊化学品设计和制造; 半导体设备包括光刻机、刻蚀机、清洗机等加工生产设备; 芯片制造是指将光刻、刻蚀、薄膜生长等半导体加工工艺有机结合,实现晶圆上的电路设计; 封装测试是指对制造出来的芯片进行电气连接和保护。 同时测试芯片的功能是否正常满足设计要求。此外,电路设计通过元器件之间的互连来实现一定的功能。现代大规模集成电路设计中已经通过EDA工具实现了器件的设计。

二、光刻

        光刻技术不仅是芯片生产中最重要的技术之一,也是当今世界上最高效的高精度微加工技术,支撑着超大规模集成电路的实现和发展。

        光刻技术包括三个重要要素:光刻工艺、光刻胶和光刻机。 在芯片生产过程中,晶圆上覆盖有光刻胶,并置于特定光源的照射下。 然后通过显影和刻蚀的步骤,将光刻掩模上的复杂图形复制到晶圆上,用于选择性阻挡沉淀或刻蚀。

        曝光后,曝光区域发生化学反应,使显影剂溶解部分光刻胶,使晶圆表面曝光,得到特定的光刻图案。 根据薄膜在显影液中溶解的变化,光刻胶可分为正性光刻胶和负性光刻胶。 正性光刻胶在曝光后在显影剂中发生降解反应而可溶解,而未曝光的正性光刻胶是不溶的。 相反,负性光刻胶由于在紫外曝光下发生聚合反应,不溶于显影液,而未曝光部分则溶于显影液。

三、光刻机

        光刻机有“半导体工业皇冠上的珠宝”之称,是现代工业杰作中最复杂、最精密、最昂贵的设备。 先进光刻机一般分为EUV光刻机和DUV光刻机两种。 而DUV光刻机通常分为两种类型:浸没式和干式。 平版印刷技术的原理源于印刷技术中的照相制版,在平面上加工形成显微图文。 事实上,光刻机是实现光刻工艺的关键设备,用于将掩模版上的电路结构复制到硅片上。

        随着科学技术的发展,集成电路通过尽可能减小芯片尺寸,向高集成度和优异性能方向发展。 因此,提高光刻分辨率已成为集成电路制造的核心挑战。 理论分辨率可以使用瑞利方程计算:

        式中,R参数为理论分辨率; λ 为曝光波长; NA 为数值孔径; k为工艺组合参数; n是介质的折射率; θ 为最小分辨角。

        从该方程可以看出,提高光刻理论分辨率R可以通过缩短光源波长R、增大数值孔径NA和减小工艺组合参数k1来实现。

四、光刻机主要部件

        光刻机是一种结构复杂的设备,其主要部件包括激光光源、物镜系统、工作台系统、掩模台系统、掩模传送系统、硅片传送系统等几大部件。

扫描二维码关注公众号,回复: 16512160 查看本文章

        系统和曝光系统。 曝光系统由投影物镜和照明系统组成,是光刻机的核心。 光刻机中有工作台、掩模台、掩模传送、晶圆传送等几个部分,作为光刻机的执行系统,负责跟踪整个测量和校准过程,实现切换和精确。 硅片和掩膜板不同工位的定位。

        整机测量校准过程依靠光刻机的测量系统实现晶圆和掩膜板各个位置的精确测量,测量系统包括调焦、晶圆对位、掩膜对位、干涉仪、调零传感器等 另外,用于实现整个系统标定功能的设备包括光束校正器、能量控制器、光束形状控制器等。

五、光刻机主要曝光方式

        根据应用场景不同,主流光刻机可分为接触式、接近式和投影式。 这些光刻机都有不同的工作原理,适合不同的加工场景。

1、接触式光刻机

        在生产过程中,接触式光刻机中具有微纳图形结构的掩模板相互接触。 在紫外光的照射下,掩膜板上的图形被转移到待加工基材的表面。 这类光刻机的特点是设备结构相对简单、加工效率高、成本低、容易实现大芯片面积曝光、使用方便、焦深长、工艺适应性强。 但掩膜板直接接触、掩膜板光刻胶污染、掩膜板磨损等问题会影响工艺精度。 另外,这种光刻机还存在分辨率低、曝光图形质量差、工艺一致性差等缺点,只能实现掩模板图形等比例再现,无法缩小再现图形。

2、接近式光刻机

        接近式光刻机是由接触式光刻机发展而来的。 在接近光刻机中,掩膜板和硅片之间会有微小的间隙。 这样,掩膜板就不会直接与光刻胶接触。 由于分离,可以有效避免光刻胶污染掩膜板等问题,提高芯片产能合格率和生产效率。 同时,也延长了掩模板的使用寿命。

        然而,在接近光刻机中,硅片上接收到的图像并不是掩膜板中的几何投影,而是由于硅片与掩膜板之间的间隙产生衍射效应而产生的掩膜板的衍射图像。 这直接影响光刻工艺的加工质量,导致掩模版图形边缘扭曲。

3、投影光刻机

投影光刻机的工作原理与相机类似,都是通过光学图形来实现掩膜板与光刻胶之间的曝光。 由于投影光刻机采用投影工艺,掩模版和基板不再相互接触,从而减少了掩模板缺陷的影响。 光学系统的项目收缩允许加工比掩模图案更精细的结构,大大提高了光刻工艺的曝光分辨率。 通常,掩模板的图像通过光学系统的投影缩放至其原始尺寸的四分之一,从而能够在覆盖光刻胶的硅晶片上进行精细蚀刻。 另外,投影光刻机具有扫描式成像的曝光能力,在一定程度上提高了光刻工艺的生产率。

六、光源的发展

        在光刻技术的发展过程中,人们一直在探索曝光波长更短的光源。 20世纪80年代至90年代初,光刻工艺主要采用高压放电汞灯产生的波长为436nm(G线)和365nm(I线)的UV光源作为光刻的曝光光源, 工艺节点范围约为250-800nm。 21世纪初,研究人员发现,通过将ArF光折射到超纯水中,可以获得波长为134nm的光源。 最终光刻工艺达到7-45 nm。 随着光源技术的日益完善,现在曝光光源的波长已经发展到极紫外光源光谱,波长达到了惊人的13.5纳米,可以实现3-7纳米范围内的光刻工艺 。

        EUV 光刻技术自 20 世纪 80 年代末以来一直被研究。 但EUV光因其波长短、能量高而容易被吸收,一直是EUV光刻技术的瓶颈。 通过不断改进EUV光的采集装置,技术人员最终使UV光源满足光刻需求。

        目前获得EUV光源的手段主要有四种:同步辐射源(SRS)、激光产生等离子体(LPP)、放电产生等离子体(DPP)和激光辅助放电等离子体(DPP)。 LDP)。同步辐射源可以产生高功率EUV光源,并且不会产生碎片污染光学元件。 它还可以连续输出EUV光。 LPP、DPP和LDP都是通过高能束使靶材产生高温,从而产生高温、高密度等离子体并发射EUV光。 其中,LPP技术是以高强度脉冲激光作为驱动能量照射靶材,使靶材产生高温等离子体并辐射EUV光; DPP是将靶材覆盖在阳极和阴极之间,两个电极在高压下产生强烈放电,使靶材产生等离子体,等离子体被加热产生EUV光; LDP是LPP和DPP技术的结合,首先用脉冲激光照射目标来细化目标,然后使用DPP技术对目标进行放电以产生EUV光。

七、结论

        随着集成电路的发展,光刻机已成为世界上最精密的设备之一,多种光刻机被应用于芯片的生产。 在这篇综述中,介绍了典型的光刻机,例如接触式、接近式或投影式光刻机。 还对物镜系统和掩模传输系统等主要部件和核心系统进行了审查。 本次审查还包括光刻技术的关键要素,包括光源和光致抗蚀剂。

        对于下一代光刻机而言,光刻技术将向更高的曝光分辨率前进,这可以通过根据瑞利方程不断减小光源波长、工艺参数以及增加数值孔径来实现。 但光刻技术和制造的升级难度也会随之增加,成本也会大幅增加。 事实上,EUV光刻已经将光源波长缩短至13.5 nm,这使得通过光源提高分辨率的机会非常有限。 在这些情况下,增加投影物镜的数值孔径将提供一种替代方法。

        光刻机的数值孔径(NA)越大,表明系统接收衍射光的能力越强,并且能够接收更高阶的衍射级别。 当接收到更高阶的衍射光时,图像将获得更多细节和更高的分辨率。 目前EUV光刻所使用的光学系统的NA为0.33NA,而ASML正在开发0.55NA的下一代EUV光刻机。 如果能够克服技术瓶颈,工艺可以扩展到3纳米。

        此外,为了进一步提高曝光分辨率,还有一些其他光刻技术,如多光子光刻、表面等离子体光刻、纳米压印等,这些技术也可能是下一代光刻的良好候选技术。

猜你喜欢

转载自blog.csdn.net/bashendixie5/article/details/132757168