外部存储器接口(External Memory Interface, EMIF)

实际工作中使用的是型号为TMS320C6678的DSP,并通过EMIF接口与FPGA通讯。

由于EMIF接口比较简单,本文以FPGA的角度作为EMIF接口的slave端进行设计。参考手册为KeyStone Architecture External Memory Interface (EMIF16) User Guide - May 2011

FPGA与DSP之间的EMIF接口调试
EMIF接口
DSP之外部设备连接接口之EMIF
DSP学习笔记----EMIF(外部存储器接口)


1. Overview

外部存储器接口(External Memory Interface, EMIF)主要用来同并行存储器连接,这些存储器包括SDRAM、SBSRAM、Flash、SRAM存储器等

还可以同外部并行设备进行连接,包括并行A/D、D/A转换器、具有异步并行接口的专用芯片,并可以通过EMIF同FPGA、CPLD等连接。

EMIF接口可根据不同的存储器类型使用不同的接口信号。对于FPGA而言,可看作是DSP的一种外部存储器,进行通信。

2. Timing Description

时序图如下,分为Setup、Strobe和Hold三个阶段。三个阶段的持续时间取决于Async 1 Config Register寄存器

2.1. Read Timing

读时序如下,EMIFD数据在HOLD阶段的第一个上升沿采样

在这里插入图片描述

2.2. Write Timing

在这里插入图片描述

3. EMIF Slave Design Spec

EMIF的Slave端的RTL逻辑设计。

外部存储器接口(External Memory Interface, EMIF)RTL设计 - verilog

猜你喜欢

转载自blog.csdn.net/Starry__/article/details/129841699
今日推荐