** Error: (vlog-13067) c:\Users\wsj\Desktop\����ģ��.v(1.19): Syntax error, unexpected non-printable

1、项目场景:

在写verilog测试文档用于对项目仿真时报:
** Error: (vlog-13067) c:\Users\wsj\Desktop\����ģ��.v(1.19): Syntax error, unexpected non-printable character with the hex value ‘0xc2’.modelsim(modelsim)

这个好像是什么编码字符问题,估计是我们使用的utf-8,里面包含了asscill的字符,然后没有识别出来。我猜测应该是我在敲TAB键的时候处于中文,所以我们进行少用TAB键进行空格的处理。


2、、问题描述

我们在写verilog测试文件时会莫名出现下图的报错,明明检查了也没问题,但就是报错。

在这里插入图片描述


3、解决方案:

1、另存文件为ANSI格式

在这里插入图片描述

2、vscode打开.v文件、删除带问号的地方,用空格键隔开

在这里插入图片描述

3、效果

在这里插入图片描述

报错消失,成功解决

4、参考资料:

https://blog.51cto.com/u_10447483/4574919

猜你喜欢

转载自blog.csdn.net/qq_52215423/article/details/131996496
今日推荐