中科亿海微FPGA初体验-LED流水灯实验

硬件环境

开发板:EQ6HL45开发板

FPGA芯片型号:EQ6HL45CSG324

开发工具:eLinx编译器

操作步骤

创建工程

单击“New Project”,

在这里插入图片描述

点击“Next”,

在这里插入图片描述

将工程名改为“flow_led”,路径根据自己需求修改。同时勾选“Create Project subdirctory”,软件会自动创建与工程名相同的文件夹,并将工程文件放在该文件夹下。修改完成后,单击“Next”,

在这里插入图片描述

单击“Next”,

在这里插入图片描述
目前无需添加设计文件,因此直接点击“Next”,

在这里插入图片描述

目前无需添加约束文件,因此直接点击“Next”,

在这里插入图片描述

根据开发板手册,选择FPGA型号,选择完成后,单击“Next”,

在这里插入图片描述

单击“Finish”,完成工程创建。

在这里插入图片描述

添加设计文件

双击“Add Sources”开始添加文件,

在这里插入图片描述

选择“Add or create design sources”,单击“Next”,

在这里插入图片描述

单击“Create File”,

在这里插入图片描述

将文件名改为“flow_led”,并单击“OK”进入下一步,

在这里插入图片描述

单击“Finish”结束,

在这里插入图片描述

双击“flow_led.v”文件,将代码写入文件中(代码为中科亿海微开发板中包含的例程,在本文后提供),

在这里插入图片描述

添加约束文件

双击“Add Sources”开始添加文件,

在这里插入图片描述

选择“Add or create constraints”,单击“Next”,

在这里插入图片描述

将约束文件命名为“flow_run.edc”,单击“Finish”结束,

在这里插入图片描述
双击左侧“flow_led.edc”文件,将约束文件写入(代码为中科亿海微开发板中包含的例程,在本文后提供),

在这里插入图片描述

编译工程

单击“Generate Bitstream”,开始编译,

在这里插入图片描述

弹窗提示没有实现,单击“OK”,

在这里插入图片描述
右上角会显示编译进度,当显示“Generate Bitstream Complete”时,表示编译完成,如下图所示,

在这里插入图片描述

下载运行

此时将开发板上电,随后双击“Open Target”,

在这里插入图片描述

单击“Auto Detect”,自动识别设备,

在这里插入图片描述

点击“Add File”,添加下载文件,一般为默认。随后勾选“Program Configure”,点击“Start”开始烧写,

在这里插入图片描述

弹窗表示烧写成功,

在这里插入图片描述

完成后,观察LED闪烁方式,与设计一致。

在这里插入图片描述

总结

中科亿海微的开发工具eLinx与AMD Xilinx的Vivado开发工具几乎完全一下,比较方便于FPGA开发人员上手使用。

资源链接

链接:https://pan.baidu.com/s/1E0tBcCMn8UK8kn5Ja9Lekw
提取码:ta1e

猜你喜欢

转载自blog.csdn.net/lb5482464/article/details/123088529