IC技术圈推荐书单

《IC芯片设计中的静态时序分析实践》

作者:J. Bhasker, Rakesh Chadha

本书深度介绍了芯片设计中用静态时序分析进行时序验证的基本知识和应用方法,涉及了包括互连线模型、时序计算和串扰等在内的影响纳米级电路设计的时序的重要问题,并详细解释了在不同工艺、环境、互连工艺角和片上变化(OCV)下进行时序检查的方法。详细介绍了层次化块(Block)、全芯片及特殊IO接口的时序验证,并提供了SDC、SDF及SPEF格式的完整介绍。

推荐人:OpenFPGA

《Static Timing Analysis for Nanometer Designs: A Practical Approach》的中文版,数字集成电路静态时序分析基础。

《人生中必要的失去》

作者:Jack Kornfield

如何在这充满不确定性的世界里,拥有一个真正属于你的美好人生?超个人心理学大师杰克·康菲尓德告诉我们:人生应该是一个不断学会做减法的过程——减去无用的欲望、累赘的习性、杂乱的心念。简单的心性,才能过好复杂的人生。

推荐人:推晨出芯

迷茫时,快乐时,痛苦时,顺境时,都可翻看的书。不是图快看完的一本,但每个字都会让你平静下来,活在当下。

《综合与时序分析的设计约束》

作者:Sridhar Gangadharan

本书为集成电路时序约束设计的指南,指导读者通过指定的时序要求,充分发挥IC设计的性能。本书内容包括受时序约束的关键环节的设计流程、综合时序分析、静态时序分析和布局布线等。本书首先详细讲解时序要求的概念,然后详细解释如何将其应用于设计流程中的特定阶段,后通过实践介绍在Synopsys约束设计下(SDC)业界领先约束的格式。

推荐人:ExASIC

把verilog变网表的必备参考书,前端设计工程师的能力提升必经之路

《From Algorithms to Hardware Architectures》

作者:Karim Abbas

This book uses digital radios as a challenging design example generalized to bridge a typical gap between designers who work on algorithms and those who work to implement those algorithms on silicon.

推荐人:FPGA算法工程师

专注于算法的系统设计师和专注于芯片的硬件设计师之间缺少了一些东西。中间有一个知识领域可以弥补这一差距。应该有一种共同的语言,算法设计师可以提前思考复杂性如何在硬件中转换,硬件设计师可以利用算法来制造高效的芯片。这本书从算法到硬件实现,提供了一种思路。

《PCI Express Technology Comprehensive Guide to Generations 1.x, 2.x and 3.0》

作者:Mike Jackson

PCIe相关,比较容易读懂,很系统,学习PCIe首推的好书。

推荐人:亦安的数字小站

PCIe相关,比较容易读懂,很系统,学习PCIe首推的好书,建议直接看英文。

《纳瓦尔宝典》

Eric Jorgenson

作者:致富不是靠运气,幸福也不是从天而降的。积累财富和幸福生活是我们可以学习的技能。这本书收集整理了硅谷投资人纳瓦尔在过去十年里通过推特、播客和采访等方式分享的人生智慧,向读者分享了纳瓦尔关于财富积累和幸福人生的原则与方法。纳瓦尔不仅告诉读者怎样致富,他还告诉读者怎样看待人生,怎样获得幸福。他对财富、人生的思考将帮助你走自己独特的道路,过上更富有、更幸福的生活。

推荐人:创业芯2023

如果你想实现财富自由,那这是一部教你如何创业的入门宝典。

《Writing Testbenches using SystemVerilog》

作者:Janick Bergeron

Writing Testbenches Using SystemVerilog offers a clear blueprint of a verification process that aims for first-time success using the SystemVerilog language.

推荐人:验证工程师的自我修养

非常系统地讲解了testbench的写法,sv甚至uvm的底层逻辑,醍醐灌顶

《SystemVerilog验证:测试平台编写指南(第三版)》

作者:Chris Spear

本书讲解了System Verilog Testbench强大的验证功能,清楚地解释了面向对象编程、约束随机测试和功能覆盖的概念。本书涵盖System Verilog所有验证结构,如类、程序块、随机化和功能覆盖等,并通过超过500个代码示例和详细解释,说明了学习多态性、回调和工厂模式等概念的内部工作原理。此外,本书提供了数百条指导原则,为全职验证工程师和学习这一技能的读者提供帮助,让读者可以更高效地使用这种语言,并解释了常见的编码错误,以便读者可以避免这些陷阱。

推荐人:硅芯思见

“绿皮书”第三版,对第二版中错误进行了纠正,同时增加了一些内容和练习

推荐人:电子狂人

用大量实例来描述使用SV进行验证的方法,FPGA和IC方向都可以学习,扩展验证手段。

《集成电路产业全书》

作者:王阳元

本书分上、中、下三册,多方面、多角度地介绍集成电路全产业链各个环节的相关知识。既综合了集成电路发展历程、应用技术、产业经济、未来趋势等内容,也详细讲解了集成电路设计、制造、生产线建设、封装测试、专用设备、专用材料等内容,还介绍了集成电路的新技术、新材料、新工艺以及前沿技术发展方向等具有前瞻性的新知识。

推荐人:数字IC打工人

集成电路方向的百宝书,对于了解整个行业有巨大帮助

《纳米集成电路制造工艺(第2版)》

作者:张汝京

本书共19章,涵盖优选集成电路工艺的发展史,集成电路制造流程、介电薄膜、金属化、光刻、刻蚀、表面清洁与湿法刻蚀、掺杂、化学机械平坦化,器件参数与工艺相关性,DFM(Design for Manufacturing),集成电路检测与分析、集成电路的可靠性,生产控制,良率提升,芯片测试与芯片封装等内容。再版时加强了半导体器件方面的内容,增加了优选的FinFET、3D NAND存储器、CMOS图像传感器以及无结场效应晶体管器件与工艺等内容。

推荐人:志芯

中国芯片制造之父张汝京老师关于芯片制造工艺的系统阐述。

《低功耗验证方法学》

作者:Srikanth Jadcherla, Janick Bergeron, Yoshio Inoue, David Flynn

《低功耗验证方法学》分析归纳了多电压低功耗设计仿真验证技术中几乎所有的关键问题,并提出了十分重要的设计验证原则和规范。内容包括:多电压电源管理基础、电源管理隐患、状态保持、多电压测试平台的架构、多电压验证、动态验证、规则及指导原则等。

推荐人:数字验证笔记

少有的,介绍低功耗验证相关知识的书籍,虽然出版时间较为久远,但对初学者了解低功耗验证有很大的帮助

《芯片验证漫游指南》

作者:刘斌

资深验证专家刘斌(路桑)向您全面介绍芯片验证,从验证的理论,到SystemVerilog语言和UVM验证方法学,再到高级验证项目话题。这本综合性、实用性的验证理论和编程方面的图书,针对芯片验证领域不同级别的验证工程师,给出由浅入深的技术指南:学习验证理论来认识验证流程和标准,学习SystemVerilog语言和UVM方法学来掌握目前主流的动态验证技术,了解高级验证话题在今后遇到相关问题时可以参考。

推荐人:芯片设计验证

从验证理论到实践,从细节到全局,系统讲述了验证的方方面面,是不可多得的红宝书。

《中国哲学简史》

作者:冯友兰

《中国哲学简史》是由1947年冯友兰先生在美国宾夕法尼亚大学讲授中国哲学史的英文讲稿整理而成,1948年由美国麦克米伦出版公司出版。是西方人了解和学习中国哲学的入门书,在西方影响很大,有法文、意大利文、西班牙文、日文等多种译本。

推荐人:验证芯发现

介绍中国哲学的书籍,好读易懂,仁者见仁智者见智。对提升专业技术没有帮助,但如果书架上还有位置,可以放一本。

《集成电路静态时序分析与建模》

作者:刘峰

本书基于广度和深度两个方面来阐述整个CMOS集成电路静态时序分析流程与时序建模技术,并通过实践案例对技术应用进行更深入的讲解,使初学者在静态时序分析与建模两方面得到理论与实战的双重提高。

推荐人:FPGA探索者

理解IC设计的重中之重——时序,也是求职加分亮点

《计算机体系结构:量化研究方法》

作者:John L. Hennessy, David A. Patterson

计算机体系结构权威书籍

推荐人:IC解惑君

计算机架构必备

《重构改善既有代码的设计(第2版)》

作者:Martin Fowler

重构,一言以蔽之,是在不改变外部行为的前提下,有条不紊地改善代码。20 多年前,正是《重构:改善既有代码的设计》第1 版的出版,使重构终于从编程高手们的小圈子走出,成为众多普通程序员日常开发工作中不可或缺的一部分。如今,Martin Fowler 的《重构:改善既有代码的设计》一书已经成为全球有经验的程序员手中的利器,既可用来改善既有代码的设计、提升软件的可维护性,又可用于使既有代码更易理解、焕发出新的活力。

推荐人:芯片验证日记

重构改善既有代码

《SoC设计方法与实现(第4版)》

作者:魏继增

本书结合SoC设计的整体流程,对SoC设计方法学及如何实现进行了全面介绍。主要内容包括:SoC设计绪论、SoC设计流程、SoC设计与EDA工具、SoC系统架构设计、IP复用的设计方法、RTL代码编写指南、同步电路设计及其与异步信号交互的问题、综合策略与静态时序分析方法、SoC功能验证、可测性设计、低功耗设计、后端设计、SoC中数模混合信号IP的设计与集成、I/O环的设计和芯片封装、课程设计与实验。

推荐人:FPGA and ICer

介绍SoC设计的经典书籍

《嵌入式高速串行总线技术:基于FPGA实现与应用》

作者:张峰

本书主要涉及嵌入式系统中的高速串行总线技术,传输速率在Gbps量级。本书首先按时间的先后顺序梳理出计算机和嵌入式系统中常用的总线技术;然后介绍并基于FPGA实现了目前嵌入式系统中常用的高速串行总线技术,侧重于终端技术实现;最后论述嵌入式系统中的常用总线架构,侧重于整机设计。

推荐人:FPGA LAB

入门普及高速总线知识的一本不可多得的好书

《基于MATLAB与FPGA的图像处理教程》

作者:韩彬

一本可以贯穿图像算法理论与MATLAB仿真,再以FPGA进行实战加速处理的书,本书实现了真正意义上的全流程讲解,填补了业内的空缺,是每个相关从业者的福音

推荐人:疯狂的FPGA

国内第一本结合MATLAB仿真与FPGA实现的图像处理丛书,从图像处理算法理论到FPGA加速实现,贯穿始终,别具一格,发行5天破1000,分分钟被盗版的好书。

《信号完整性 深入理解高速数字电路设计》

作者:高晓宇

主要内容有:信号完整性问题出现的技术背景、传输线与阻抗基础理论、信号的传输与回流、反射与端接技术、数字集成电路基础、信号完整性仿真与模型、时延与时序、电源完整性、高速串行接口技术。本书定位于面向广大信号完整性初学者的导引入门技术教程,读者通过本书可快速构建起信号完整性基础知识体系,掌握信号完整性的基本设计理念。

推荐人:icsoc

中文原创,阐述详尽。

《芯事》、《芯事2》

作者:谢志峰等

本书让读者看到集成电路发展史的多个面相,在深度和角度上进一步拓展您的认知,用新的态度来解读关键的历史瞬间,梳理芯片产业的脉络,深入认知芯片产业链的全貌,洞察集成电路的现状,并在某种程度上对未来20年的芯片发展提出期望。希望本书能够给集成电路的政策制定者、投资者、经营者、管理者和其他各类从业者以启迪,给有志于投身集成电路行业的人员以综合认知,给集成电路的下游应用以策略依据,给有兴趣了解集成电路的大众以行业知识。

推荐人:小蔡读书

了解IC产业发展史和现状的一本书。

b31d3ecbc6261c19ac9d63900f07beb5.jpeg

点击下方阅读原文,或访问http://iccircle.com/book查看最新书单

猜你喜欢

转载自blog.csdn.net/Pieces_thinking/article/details/129273290