verilog驱动ADC0809包括仿真测试

工程创建于vivado2018.2下

下面是功能概述:
/************************************************************/
/******   本工程用于驱动ADC0809模/数转换芯片,可得到8位有   *****/
/******   效数据,无数据处理、无滤波。                                *****/
/************************************************************/
/******       ADC0809分辨率: 参考电压值/255                    *****/
/******    电压值计算 :8位数据(DATA_R)* 分辨率               *****/
/************************************************************/
/************************************************************/
/******              系统输入时钟:50MHZ                              *****/
/******     状态转换时钟和AD采集时钟可根据系统时钟修改参数      *****/
/******       状态转换时钟分频参数 :CLK_CHANGE_MAX         *****/
/******        AD转换时钟分频参数 :CLK_ADCLT_MAX            *****/
/************************************************************/
/***************************

猜你喜欢

转载自blog.csdn.net/QQ_778132974/article/details/111942583