分享给大家一些难得的软件07

-+电力电气、电子电路±

Atrenta产品:

Atrenta GenSys.v5.1.1.1.Linux64 1CD

Atrenta SpyGlass vL-2016.06 SP2 Linux64 1DVD(对RTL以及Netlist进行语法检查的工具)

Atrenta SpyGlass vL-2016.06 Linux64 1DVD

Atrenta.SpyGlass.v5.4.1.SP1.Linux64 1CD

Atrenta SpyGlass.v5.1.1.1.Linux64 1DVD

Atrenta SpyGlass v4.40 Linux 1CD

Atrenta SpyGlass v4.5.1 LinuxAMD64 1CD

InnovEDA产品:

InnovEDA.E-Sim.v4.1 1CD

InnovEDA.FabFactory.7.0 1CD

InnovEDA PowerPCB Suite v4.0 1CD

InnovEDA.Visual.HDL.v6.7.8.for.Veril 1CD

InnovEDA.Visual.HDL.v6.7.8.for.VHDL 1CD

InnovEDA.Visual.IP.v4.4.1 1CD

DownStream.Technologies产品:

DownStream Products 2015.11 1CD(业界领先的PCB后处理解决方案)

Included:

    - CAM350/DFMStream v12.1

    - BluePrint-PCB v5.1

FABmaster v8f2 Gold 1CD(冶具制作软件)

Software Companions Gerbview 8.25 Win32_64 2CD

CAMtastic产品:

Camtastic2000 v3.03 1CD(PCB设计过程中的CAM技术)

Baas Electronics产品:

Layo1.PCB.Design.Pro.v10.0 1CD(设计和制造电子测量和控制设备等机械制图专业软件)

Gerber产品:

ACE 3000 Professional v6.20 1CD

FAB 3000 Professional v5.1.1.4 1CD

MiG GmbH & Co.产品:

WASP-NET.v5.4.3-ISO 1CD(微波和孔口天线设计方面的快速准确的计算机辅助设计和优化软件)

GraphiCode产品:

GC-powerstation v17.2.6 Win32 1CD

GC-PowerStation 16.2.8 Win64 1CD

GC-PowerStation.v9.1.2 1CD(中、英文版,即以前的GC-CAM 4.14的高版本,印刷电路板设计与制造CAD工具软件)

Etap产品:

Operation Technology ETAP 2019 v19.0.1C 1DVD

Etap.PowerStation.v18.0.0.Win7_10 64bit-ISO 1DVD

Etap.PowerStation.v16.1.1.Win7_10 64bit-ISO 1DVD(电力系统仿真分析软件)

Operation.Technology.ETAP.v16.0.0.31216-ISO 1DVD

Etap.PowerStation.v14.1.0 Win7_10-ISO 1DVD

Etap.PowerStation.v12.6.0-ISO 1DVD

Etap.PowerStation.v11.0.0-ISO 1DVD

Etap.PowerStation.v7.5-ISO 1DVD

MemResearch产品:

Memresearch EM3DS v2008 1CD(意大利产出的电磁三维软件)

SimLab Software产品:

SimLab.Suite.2008 1CD(专门提供电缆、电缆束和电路板信号完整性(SI)、电源完整性(PI)和电磁干扰性(EMI)相关分析软件)

Sisoft产品:

Sisoft Quantum-SI 2008.10 SP4 1CD(信号完整性仿真分析)

Power Analytics产品:

Paladin DesignBased v5.1-ISO 1DVD(电力系统仿真软件,对电气系统进行设计、计算、分析、模拟及控制的综合性软件包)

PowerSIM产品:

PSIM Professional v9.1.1.400 & PsimBook Win32 1CD(仿真PowerPC指令集的模拟器)

PSIM Professional v9.0.3.400 Win64 1CD

PSIM Plus v5.0 网络版 1CD

Powersim.Studio.Express.v7.00.4226.6 1CD

Agilent Technologies Inc.产品:

Keysight.ADS.2021.0.Win64 1DVD

Keysight ADS 2021.0 Linux 1DVD

Keysight.EMPro.2020.1.Win64 1DVD

Keysight.Genesys.2020.Win64 1DVD

Keysight.IC-CAP.2020.2.Win64 1DVD

Keysight.MBP.2020.1.Win64 1DVD

Keysight.MQA.2020.1.Win64 1DVD

Keysight.SystemVue.2020.1.Win64 1DVD

Keysight WaferPro Xpress 2020.1 Win64 1DVD

Keysight Suite 2019 (2019-04-02) Win64 9DVD

included:

IC-CAP 2018 Win64 build date 2018-04-30
Genesys 2018 Win64 build date 2018-07-31
System Vue 2018 Update1 Win64 build date 2019-01-09
WaferPro Express 2016_04 Win64 build date 2016-07-15
ADS 2019 Win64 build date 2019-03-26
EMPro 2019 Win64 build date 2019-01-15
MBP 2019 Win64 build date 2019-02-07
MQA 2019 Win64 build date 2019-02-07
GoldenGate 2015.01 Win64 build date 2014-12-11

Agilent.89600.Vector.Signal.Analyzer(VSA).2020u1 2DVD(设计测试协同可视化软件vsa+benchvue+app)

Agilent.89600.Vector.Signal.Analyzer(VSA).v12.02-ISO 1CD(频谱分析)

Keysight 89600 VSA-WLA 22.21 Software Win64 1DVD

Keysight.Electromagnetic.Professional(EMPro).2020.Win64 1DVD

Keysight.Electromagnetic.Professional(EMPro).2019.Win64 1DVD

Keysight EMPro 2017 Win64 1DVD

Agilent.Electromagnetic.Professional(EMPro).2015.01.Win32_64 1DVD(3D电磁场设计平台)

Agilent.Electromagnetic Professional(EMPro).2015.01 Linux32_64-ISO 1DVD

Agilent RF Design Environment(RFDE) 2008 linux 1CD

Agilent.Antenna.Modeling.Design.System(AMDS).v2007.06 1CD(电子仿真平台)

Keysight Advanced Design System (ADS) 2020.1.1 Win64 1DVD

Keysight Advanced Design System (ADS) 2020.0 Win64 1DVD(电子设计自动化软件)

Keysight Advanced Design System (ADS) 2020.0 Linux64 1DVD

Keysight Advanced Design System (ADS) 2019 Update 1.0 Linux64 1DVD

Keysight Advanced Design System (ADS) 2017 CHN Win64 1DVD

Agilent.Advanced.Design.System(ADS).2016.01.Windows 1DVD

Agilent.Advanced.Design.System(ADS).2016.01.Linux64 1DVD

Agilent.Advanced.Design.System(ADS).2016.01.CHS.Linux64 1DVD

Agilent.Advanced.Design.System(ADS).2015.01.Win64 1DVD

Agilent.Advanced.Design.System(ADS).v2014.01.Win32 & Win64-ISO 1DVD

Agilent.Advanced.Design.System(ADS).v2015.01.Linux 1DVD

Agilent.Advanced.Design.System(ADS).v2014.01.Linux32 & Linux64-ISO 1DVD

Agilent ADS 2005A 快速入门中文教程

Agilent ADS 中文视频教程(台湾)

Agilent ADS详尽教程

Agilent ADS中文教程

Agilent EMDS 2006B 1CD(电磁设计系统)

Keysight.FlexDSA.A.05.63.22.Win32_64 2CD

Agilent.GeneSpring.GX.v11.5.Win32_64 2CD

Agilent.GeneSpring.GX.v11.5.Linux32_64 2CD

Agilent.GeneSpring.GX.v11.5.MacOSX-ISO 1CD

Agilient Genesys 2018.0 Win64 1DVD

Agilent.Genesys.v2015.08.Win64 2DVD(包括中英文版)

Agilent Genesys 2014.04 Win32 1DVD

Agilent Genesys 2012.01 Win32_64 1DVD(射频EDA软件)

Agilent.Genesys.v2010.05.SP1.Update.Only 1CD

Agilent.Genomic.Workbench.v5.0.14 1CD

Agilent.Genomic.Workbench.x64.v5.0.14 1CD

Agilent.Genomic.Workbench.Linux.v5.0.14 1CD

Agilent.Genomic.Workbench.MacOSX.v5.0.14 1CD

Agilent.GoldenGate.RFIC.Simulation 2020 Linux 1CD

Agilent.GoldenGate.RFIC.Simulation.2015.01.v4.9.0.Win64 1CD(RTL验证标准)

Agilent.GoldenGate.RFIC.Simulation.2015.01.v4.9.0.Linux 1CD

Agilent GoldenGate RFIC Simulation Software 2013.10 Linux64 1DVD

Agilent GoldenGate v4.4.9 Linux 1DVD

Keysight Suite 2017 Win32_64 4CD

  include:

      Agilent Model Builder Program(MBP)2017 Win32_64 2CD

       Agilent Model Quality Assurance(MQA)2017 Win32_64 2CD

Keysight Model Builder Program(MBP)2017 Update 2.0 Win64 1DVD

Keysight Model Quality Assurance(MQA)2017 Update 2.0 Win64 1DVD

Agilent Model Builder Program(MBP)2016.01 CHS Win64 1CD

Agilent Model Builder Program(MBP)2016.01 CHT Win64 1CD

Agilent Model Builder Program(MBP)2016.01 Win64 1CD(SPICE 模型提取工具,侧重于硅器件的器件建模软件)

Agilent Model Builder Program(MBP)2014.04 Win32 1CD

Agilent Model Quality Assurance(MQA)2016.01 CHS Win64 1CD

Agilent Model Quality Assurance(MQA)2016.01 Win64 1CD(SPICE 模型验证工具)

Agilent Model Quality Assurance(MQA)2014.04 Win32 1CD

Agilent Physical Layer Test System (PLTS) 2014 1CD

Agilent WaferPro Express 2016.04 HF1 Win64 1DVD

Keysight WaferPro Express 2016.04.HF2 Win64 1DVD

Agilent WaferPro Express 2015.01 CHS Win64 1CD

Agilent WaferPro Express 2015.01 ENG Win64 1CD

Agilent WaferPro Express 2014.04 Win32 1CD

Keysight SystemVue 2020 ENG Win64 1DVD

Keysight SystemVue 2018 CHS Win64 1DVD(最新中文版,便捷专业的信号处理平台软件)

Keysight SystemVue 2018 ENG Win64 1DVD(Last English version)

Agilent Technologies SystemVue 2015.01 Win32_64 2DVD

Keysight SystemVue 2016.08 1DVD

Keysight IC-CAP 2018 Win64 1DVD

Agilent IC-CAP 2016.01 Win64 1CD

Agilent.IC-Cap.v2014.04.Win32 1DVD

Agilent.IC-Cap.v2009.Win32 & Win64-ISO 1CD(集成电路表征和分析程序)

Agilent.IC-Cap.v2009.Linux32-ISO 1CD

Ommic ED02AH Libary v2.6 for ADS 2002 1CD

SIMetrix SIMPLIS v8.30 Win32_64 2CD

SIMetrix 8.00g with DVM and Verilog for SIMPLIS Win64 1CD

SIMetrix 8.20h with DVM and Verilog for SIMPLIS Update 2CD

SIMetrix Simplis v8.00g Win64 1CD

Simetrix Simplis v5.50 1CD(完全解密)

Simetrix Simplis manuals (用户手册)

Agilent.Testexec.SL.v5 1CD

Agilent.VEE.Pro.v9.0 1CD(是为测试程序开发者提供的一种高效、简便易用的图形编程环境)

Agilent.VEE.Pro.v7.5.Addon 1CD (帮助文件)

Agilent Heatwave 2014.Linux 1CD

Agilent.Hfss.v5.6-ISO 1CD(专业 3D 高频系统全波电磁场模拟软件)

Agilent.89600.Vector.Signal.Analyzer.v8.0-ISO 1CD(频谱分析)

TSMC 0.13 工艺库

TSMC 0.18 工艺库

TSMC 0.25 工艺库

Agnisys产品:

Agnisys IDesignSpec v4.12.9.0 1CD(EDA设计工具)

ANSOFT产品:

ANSYS Electromagnetics Suite v19.1 Linux 1DVD

Ansys Electromagnetics Suite v16.0 Win64 1DVD + 3CD

inclueded:

         - Ansys Electronics Desktop 2015.0.0 (HFSS, HFSS 3D Layout, HFSS-IE, 2D Extractor, Q3D Extractor)

         - Ansys Electromagnetics 16.0 Modeler Files for CATIAV5

         - Ansys Electromagnetics ECAD Translators 2015.0.0

         - Ansys Electromagnetics PExprt 2015.0.0

         - Ansys Maxwell 2015.0.0

         - Ansys Simplorer 2015.0.0

         - Ansys SIwave 2015.0.0

Ansoft HFSS v15.0 win32_64 Full-ISO 2DVD(三维结构电磁场仿真软件)

Ansoft HFSS v15.03 Updat Only Win64 1CD

Ansoft HFSS v19.1 Linux64 1DVD

Ansoft HFSS v14.0 Linux 1DVD

Ansoft HFSS Antenna Design Kit v2.15 for HFSS v14.0-15.0 1CD

Ansys Maxwell 3D v16.03 Win32_64-ISO 2DVD(电磁场分析软件)

Ansys Maxwell 3D v16.03 Linux 1DVD

Ansoft Designer and Nexxim v8.0 Full-ISO 1DVD(电子电磁仿真)

Ansoft Designer 7.0.1 Update Only 1CD

Ansoft Designer v9.0 HFSS 1CD

Ansoft Spiral Design Kit for Hfss v10.0 1CD

Ansoft ECAD v6.0 1CD

Ansoft Ephysics v3.1 WinALL-ISO 1CD(Maxwell的一个插件,电子电磁设计)

Ansoft Ensemble v8.0 1CD

Ansoft Links 6.0-ISO 1CD

Ansoft Links v6.01 Update Only 1CD

Ansoft Links v4.0 Win64-ISO 1CD

Ansoft OpTimetrics v 2.5-ISO 1CD

Ansoft PExprt v7.0.20-ISO 1CD(全图形界面的电磁设备设计软件)

Ansys Q3DExtractor v12.0 Win32_64-ISO 2DVD

Ansoft Rmxprt v12.1-ISO 1CD(电力电子电磁器件设计、分析和优化工具)

Ansoft SCap v5.5 1CD(Ansoft HFSS V9.1的图示捕获工具)

Ansoft.Serenade.Densign.Environment.v8.71 1CD(射频微波、电路设计软件)

Ansoft.SIWave.v7.0 Win32_64-ISO 2DVD(全新的信号完整性仿真工具,特别适合于对高速PCB板和复杂的IC封装进行信号完整性分析)

Ansoft Siwave v7.02 Update Only Win64 1CD

Ansoft Simplorer v11.0-ISO 1CD(强大的多领域复杂系统仿真软件包)

Ansys.Simplorer.AK30.Library.v8.0 1CD

Ansoft Simplorer v7.0 day1 & day2

Ansoft Spicelink v5.0-ISO 1CD(通用信号完整性电磁场仿真工具)

Ansoft.Turbo.Package.Analyzer(TPA).v8.0-ISO 1CD

Ansoft Max&Eph traning-ISO 1CD(教程)

Ansoft HFSS v10 用户手册(英文)

Ansoft Hfss 中文培训教程

Ansoft Hfss v9.0 培训影像

Ansoft Hfss v9.2 完全教程

Ansoft HFSS v9使用技巧

Ansoft HFSSv9边界条件和激励设置培训

Ansoft Hfss 9.2 用户指南

Ansoft Hfss 8.0 中文培训教程

Ansoft Maxwell 2D 3D 中文使用说明

Ansoft Maxwell 官方手册(英文)

Ansoft Rmxprt application 1CD

Apache Design Solutions产品:

Ansys Totem v19.2.7 Lniux64 1DVD(晶体管级电源噪声和可靠性仿级电源噪声和可靠性仿真平台,可用于模拟、混合信号和定制数字设计)

Ansys Redhawk Expoler v2020 R2.1 Linux64 1DVD

Apache Design Solutions RedHawk v19.0.3 Linux64 1DVD

Apache Design Solutions Redhawk 2019 R2.8 Linux64 1CD(IC功率分析解決方案)

FutureFacilities产品:

FutureFacilities.6SigmaDC.R9.0 Win32_64 2CD(致力于数据中心及电子散热相关的整体解决方案,

                     包含6SigmaRoom,6SigmaRoomLite,6SigmaRack,6SigmaET等十多个模块)

CDAJ产品:

Speed v2011.0-ISO 1CD(电机设计软件)

SPEED 2000 user Manual

Magneforce v4.0 Windows 1CD(专业电机设计与电磁分析软件)

COBHAM产品:

Opera v12.003 1CD(完整的电磁场3D分析软件)

SAMTECH产品:

Samcef For Wind Turbines v1.1-ISO 1DVD(风力涡轮发电机设计的专业工程软件)

JMAG产品:

JMAG.Designer.18.1.Win64 1DVD(马达电磁分析软件)

JMAG-Designer v17.1 Windows & Linux 2DVD

JMAG Designer 16.0 Win64 & Linux64 2DVD

JMAG-Designer 14.0.01t Win64 & Linux64 2DVD

Jmag Designer 12.0 Win64-ISO 1DVD

JMAG-Designer v11.0 Win32_64-ISO 2DVD

JMAG-Designer v11.0 SLS&Sample Data-ISO 1DVD

JMAG Designer v10.3.03k Win32-ISO 1DVD(马达电磁分析软件)

JMAG Designer v10.3.03k Win64-ISO 1DVD

JMAG Studio v10.02201a Win32-ISO 1DVD

Infolytica Corporation产品:

Infolytica Products 25CD

included:

 Actuator Plug-In for Simulink 2.2.2 Win32_64 2CD

 ElecNet 7.5 Win32_64 2CD

 MagNet 7.5 Win32_64 2CD

 MagNet For SolidWorks 1.0.0 Win64 1CD

 MagNet Plug-In for PSIM 1.3.2 Win32_64 2CD

 MagNet Plug-In for Simulink 2.2.2 Win32_64 2CD

 MotorSolve 4.11 1CD

 MotorSolve 4.11 zh-CHS 1CD

 MotorSolve 5.0 1CD

 MotorSolve Plug-In for Simulink 2.2.0 Win32_64 2CD

 OptiNet 7.5 1CD

 Speed PC-BDC Importer 2.5 1CD

 System Model Generator 2.3 1CD

 ThermNet 7.5 Win32_64 2CD

 Three Phase Machine Plug-In for Simulink 2.2.2 Win32_64 2CD

 Trajectory Evaluator 3.0 1CD

Infolytica MagNet v7.4.1.4 Win32 1CD

InfoLytica.MagNet.v7.1.1 1CD(高精度磁场解析模拟软件)

Siemens.Simcenter.Motorsolve.2020.2.Win64 1CD

Silvaco产品:

Silvaco AMS v2010.00 Win32 1CD

Silvaco AMS 2008.09 Linux 1CD

Silvaco AMS 2008.09 Linux64 1CD

Silvaco AMS 2008.09 Solaris 1CD

Silvaco AMS 2008.09 Manual 1CD

Silvaco Iccad 2008.09 1CD

Silvaco Iccad 2008.09 Linux 1CD

Silvaco Iccad 2008.09 Linux64 1CD

Silvaco Iccad 2008.09 Solaris 1CD

Silvaco Iccad 2008.09 Manual 1CD

Silvaco Logic 2008.09 1CD

Silvaco Logic 2008.09 Linux 1CD

Silvaco Logic 2008.09 Linux64 1CD

Silvaco Logic 2008.09 Solaris 1CD

Silvaco Logic 2008.09 Manual 1CD

Silvaco TCAD 2019 Win64 & Linux64 2DVD

Silvaco TCAD 2019 RH64 STR Files 2DVD

Silvaco TCAD 2014.00 Win32 1DVD

Silvaco TCAD 2012.00 Win32_64 1DVD

Silvaco TCAD 2010.00 Linux 1CD

Silvaco TCAD 2012 Linux64 1DVD

Silvaco TCAD 2008.09 Solaris 1CD

Silvaco TCAD 2008.09 Manual 1CD

Silvaco Catalyst 2008.09 Linux 1CD

Silvaco Catalyst 2008.09 Linux64 1CD

Silvaco Catalyst 2008.09 Solaris 1CD

Silvaco Char 2008.09 Linux 1CD

Silvaco Char 2008.09 Linux64 1CD

Silvaco Char 2008.09 Solaris 1CD

Silvaco Firebird 2008.09 Linux 1CD

Silvaco Firebird 2008.09 Linux64 1CD

Silvaco Firebird 2008.09 Solaris 1CD

Silvaco Mode 2008.09 Linux 1CD

Silvaco Mode 2008.09 Linux64 1CD

Silvaco Mode 2008.09 Solaris 1CD

Silvaco Parasitic 2008.09 Linux 1CD

Silvaco Parasitic 2008.09 Linux64 1CD

Silvaco Parasitic 2008.09 Solaris 1CD

Silvaco UT 2007.04 Linux 1CD

Silvaco UT 2007.04 Linux64 1CD

Silvaco UT 2007.04 Solaris 1CD

Silvaco VWF 2007.04 Linux 1CD

Silvaco VWF 2007.04 Linux64 1CD

Silvaco VWF 2007.04 Solaris 1CD

Parallel SmartSpice 1.9.3.E 1CD

AVANT!/SYNOPSYS产品:

Actel.Designer.v8.3.SP1.Windows-ISO 1CD(专业的FPGA器件开发软件,是一个能使FPGA的系统性能提高15%的布局工具)

Actel.Designer.v8.4.2.6.SP2-ISO 1CD

Actel.Designer.v8.3.SP1.Linux-ISO 1CD

Actel.Designer.v8.3.SP1.Solaris-ISO 1CD

Actel.Flashpro.v8.4-ISO 1CD(编程器)

Actel.Libero.IDE.Platinum.SP1.v8.6.2.10 1CD

Actel.Libero.IDE.v8.4.Windows-ISO 1DVD(FPGA产品设计)

Actel.Libero.IDE.v8.4.Linux-ISO 1DVD

Actel.CoreConsole.v1.4 1CD(IP开发平台 (IDP),有助于简化以FPGA为基础系统级应用的构建)

Spec-TRACER.2013.12.Windows 1CD(ALDEC推出需求跟踪管理工具Spec-TRACER,能对FPGA 项目的需求进行跟踪,还能分析

                            项目的每个需求是否都得到了充分地验证,大大提高了交付项目的稳定性及可靠)

Synopsys Astro vZ-2007.03 SP10 Linux 1CD

Synopsys Astro vZ-2007.03 SP10 LinuxAMD64 1CD

Synopsys Astro IU vZ-2007.03 SP10 Linux 1CD

Synopsys Astro IU vZ-2007.03 SP9 SUSE32 1CD

Synopsys Astro Rail vZ-2007.03 SP7 Linux 1CD(一个全面的功耗完整性分析和实现工具)

Synopsys.Astro-rail vZ-2007.03 SP7 LinuxAMD64 1CD

Synopsys.Astro-rail vZ-2007.03 SP7 Linuxipf 1CD

Synopsys Astro Rail vZ-2007.03 SP9 SUSE32 1CD

Synopsys Astro Tool vZ-2007.03 SP9 SPARC64 1CD

Synopsys Astro Tool vZ-2007.03 SP9 SPARCOS5 1CD

Synopsys Astro Tool vZ-2007.03 SP9 SUSE32 1CD

Synopsys Astro Tool vZ-2007.03 SP9 SUSE64 1CD

Synopsys.Aurora.vX-2006.09.Linux 1CD

Synopsys.Cadabra.vB-2008.09 Linux 1CD

Synopsys.Cadabra.vB-2008.09 SparcOS5 1CD

Synopsys.Cadabra.vB-2008.09 Suse32 1CD

Synopsys Certify vG-2012.09 Win32 1CD

Synopsys Certify vE-2011.09 Linux 1DVD

Synopsys.CoCentric.System.Studio vX-2005.06 SP1 Linux 1CD

Synopsys.Common.Licensing(Scl) v1.2 for WinNT 1CD

Synopsys Component vC-2009.06 SP1 Win32 1DVD

Synopsys Component vC-2009.06 SP1 Linux 1DVD

Synopsys Coretools vK-2015.06 SP5 Linux32_64 1DVD

Synopsys CoreTools vJ-2014.12 SP1 Linux32_64 2CD

Synopsys Core Synthesis Tools(syn) vO-2018.06-SP1 Linux64 1DVD

Synopsys Core Synthesis Tools vJ-2014.09 SP3 Linux64 1DVD

Synopsys.CoreSynthesis.v2002.05 Linux 1CD

Synopsys.Cosmoscope.vJ-2015.03.Windows 1CD

Synopsys Cosmoscope vJ-2015.03 Linux32_64 2CD

Synopsys Cosmoscope vB-2008.09 SP1 Linux64 1CD

Synopsys CoCentric System Studio(CSS) vE-2010.12 Linux 1DVD

Synopsys CoCentric System Studio(CSS) vE-2010.12 Msvc50 1CD

Synopsys CSS vG-2012.03 SP2 Linux 1DVD

Synopsys CSS vC-2009.03 SP1 SparcOS5 1CD

Synopsys CSS vC-2009.03 SP1 GccsparcOS5 1CD

Synopsys.Customdesigner vC-2009.06 Linux 1CD

Synopsys.Customdesigner vC-2009.06 LinuxAMD64 1CD

Synopsys Customexp vG-2012.06 SP1 Linux32_64 2CD

Synopsys Customexp vG-2012.06 SP1 Win32 1CD

Synopsys.CustomExplorer.vK-2015.06.Windows 1CD

Synopsys.CustomExplorer.vH-2013.03.SP2.WinALL 1CD

Synopsys.CustomExplorer.vK-2015.06.Linux64 1CD

Synopsys.CustomExplorer.vH-2013.03.SP2.Linux32_64 2CD

Synopsys.CustomExplorer.vK-2015.06.SI32 1CD

Symopsys CustomSim (XA) vO-2018.09-SP5 Linux64 1DVD

Synopsys Customsim vK-2015.06 Linux 1DVD

Synopsys CustomSim 2014.09 SP4 Linux64 1DVD

Symopsys CustomSim (XA) 2013 Linux32_64 2CD(支持多核仿真)

Synopsys Wave View vO-2018.09-SP2 Windows 1CD

Synopsys Wave View vO-2018.09-SP2 Linu64 1CD

Synopsys Custom WaveView vP-2019.06.Win64.&.Linux64 3CD

Synopsys Custom Waveview vL-2016.06-SP1 Linux64 1DVD

Synopsys DC 2000.05 WinALL 1CD

Synopsys Core Synthesis Tools(syn) vK-2015.06 Linux64 1CD

Synopsys Design Compiler 2019.03 SP3 Linux 1DVD

Synopsys (Design Complier) Syn vH-2013.03 Linux32_64 2CD

Synopsys Syn vB-2008.09 SP5-2 SUSE32 1CD

Synopsys Syn vB-2008.09 SP5-2 SUSE64 1CD

Synopsys Syn vB-2008.09 SP5-2 x86sol32 1CD

Synopsys Syn vB-2008.09 SP5-2 x86sol64 1CD

Synopsys DDR DDR2 PHY TSMC 65GP25 Linux 1CD

Synopsys DWC DDR2 SMIC 130G33 Linux 1CD

Synopsys Design Compiler 2008.09 Linux 1CD

Synopsys.Designware.IP.v2001.08 Linux 1CD

Snopysys DesignWare System-Level Library vD-2009.12-SP2 Linux 1DVD

Snopysys DesignWare System-Level Library vD-2009.12-SP2 Linux64 1DVD

Synopsys DFT Compiler 1 v2006.06 Linux 1CD

Synopsys DSP vC-2009.03 SP1 Win32 1CD

Synopsys DSP vC-2009.03 SP1 Linux 1CD

Synopsys Embedit vP-2019.06 SP1 Linux 1DVD

Synopsys Embedit Integrator vJ-2014.12 SP1 Linux32_64 2DVD

Synopsys.ESP.vG-2012.06.Linux32_64 3CD

Synopsys.ESP.vC-2009.06 Rs6000 1CD

Synopsys ESP vC-2009.06 Sparc64 1CD

Synopsys ESP vC-2009.06 SparcOS5 1CD

Synopsys ESP vC-2009.06 SUS32 1CD

Synopsys ESP vC-2009.06 SUS64 1CD

Synopsys ESP vC-2009.06 x86SOL32 1CD

Synopsys ESP vC-2009.06 x86SOL64 1CD

Synopsys.Finesim.vO-2018.09.SP2.Linux64 2DVD

Synopsys Finesim(FSIM) vK-2015.06 Linux64 1DVD

Synopsys.FineSimPro.2012.12.SP3.Linux 1CD

Synopsys Formality vO-2018.06 SP1 Linux64 1CD

Synopsys Formality.vE-2010.12 SP2 Linux 1CD

Synopsys Formality vJ-2014.09 SP3 Linux64 1CD

Synopsys Fpga vO-2018.09 SP1 Windows 1DVD

Synopsys Fpga vN-2018.03 SP1 Windows & Linux 2DVD

Synopsys FPGA Synthesis Products vJ-2015.03 SP1.Windows 1DVD

Synopsys.Fpga.Synthesis.vJ-2015.03.Linux 1DVD

Synopsys Fpga Synthesis vG-2012.09.SP1 Windows 1CD

Synopsys Fpga Synthesis vG-2012.09.SP1 Linux 1DVD

Synopsys FPGA Synthesis Products vI-2014.03 Windows 1DVD

Synopsys FpGA Compiler II v3.8 1CD

Synopsys.FPGA Express.V3.6.1.6817.Winall 1CD

Synopsys.FPGA.Express.Xilinx.Edition.V3.6.1 1CD

Synopsys Galaxy Constraint Analyzer vH-2013.06 SP1 Linux 1CD

Synopsys Galaxy Custom Designer 2012.09-SP1 Linux32_64 2DVD

Synopsys Hercules vB-2008.09-SP5 Linux32_64 2CD

Synphony HLS vD-2009.12 1CD

Synphony HLS vD-2009.12 Linux 1CD

Synopsys Hsimplus vC-2009.06 WinALL 1CD(高性能的晶体管级仿真器)

Synopsys Hsimplus vG-2012.06-SP1 Linux32_64 2DVD

Synopsys Hsimplus vC-2009.06 Sparc64 1CD

Synopsys Hsimplus vC-2009.06 SparcOS5 1CD

Synopsys Hsimplus vC-2009.06 SUS32 1CD

Synopsys Hsimplus vC-2009.06 SUS64 1CD

Synopsys Hsimplus vC-2009.06 x86SOL32 1CD

Synopsys Hsimplus vC-2009.06 x86SOL64 1CD

Synopsys.Hspice.vP-2019.06.Windows 1CD

Synopsys.Hspice.vO-2018.09.SP2.Windows 1CD(完全安装版,高精确度的模拟电路仿真软件)

Synopsys Hspice vO-2018.09.SP2 Linux64 2CD

Synopsys Hspice vK-2015.06.Linux32_64 2CD

Synopsys Hspice.vG-2014.09-2 Linux32_64 2CD

Synopsys.Hspice.vJ-2014.09-2.Suse32_64 2CD

Synopsys Hspice vC-2009.03 SP1 SparcOS5 1CD

Synopsys Hspice vY-2006.09.SP1 Doc 1CD

Synopsys IC Compiler II (ICC2) vP-2019.03 SP1 Linux64 2DVD

Synopsys ICC2 vO-2018.06 SP1 Linux64 1DVD

Synopsys IC Compiler II (ICC2) vO-2018.06 SP1 Linux64 1DVD

Synopsys IC Compiler II vK-2015.06 Linux64 1CD

Synopsys IC Compiler vP-2019.03 SP2 Linux64 1DVD

Synopsys IC Compiler vO-2018.06 SP1 Linux64 1DVD

Synopsys IC Compiler vH-2013.03 Linux32_64 2CD

Synopsys IC WorkBench(ICWB)vV-2004 Solaris 1CD(高速版图设计可视化和光刻分析)

Synopsys Ident vC-2009.06 SP2 Win32 1CD

Synopsys Ident vC-2009.06 SP2 Linux 1CD

Synopsys Identify vN-2018.03 SP1 Windows & Linux 2DVD

Synopsys Identify vL-2016.03-SP1 Windows & Linux 2CD

Synopsys Identify vH-2012.12 Win32 1DVD

Synopsys Identify vH-2012.12 Linux 2DD

Synopsys Power Fault IDDQ(idq) vK-2015.06 Linux64 1CD

Synopsys IDQ vC-2009.06 SP3 Linux 1CD

Synopsys IDQ vC-2009.06 SP3 LinuxAMD64 1CD

Synopsys IDQ vC-2009.06 SP1 SUS32 1CD

Synopsys IDQ vC-2009.06 SP1 SUS64 1CD

Synopsys IDQ vC-2009.06 SP1 x86SOL32 1CD

Synopsys IDQ vC-2009.06 SP1 x86SOL64 1CD

Synopsys Innovator v2009.12 SP1 Win32 1CD

Synopsys ISE TCAD v10.0 Linux 2CD(半导体元件制造与模拟软件)

Synopsys Jupiter vY-2006.06 SP1 Linux 1CD

Synopsys.Jupiterxt vZ-2007.03 SP10 Linux 1CD

Synopsys LEDA vH-2013.03 Linux 1CD

Synopsys LEDA vB-2008.06 LinuxAMD64 1CD

Synopsys Liberty NCX vC-2009.06 SP1 Linux 1CD

Synopsys Liberty NCX vC-2009.06 SP1 LinuxAMD64 1CD

Synopsys Liberty NCX vC-2009.06 SP1 Sparc64 1CD

Synopsys Liberty NCX vC-2009.06 SP1 SparcOS5 1CD

Synopsys Liberty NCX vC-2009.06 SP1 SUS32 1CD

Synopsys Liberty NCX vC-2009.06 SP1 SUS64 1CD

Synopsys Liberty NCX vC-2009.06 SP1 x86SOL32 1CD

Synopsys Liberty NCX vC-2009.06 SP1 x86SOL64 1CD

Synopsys NCX vE-2010.12 SP3 Linux64 1CD

Synopsys Magellan vB-2008.09 Linux 1DVD

Synopsys Magellan vB-2008.09 LinuxAMD64 1DVD

Synopsys.Mempro.v2001.11.For.NT 1CD

Synopsys Memory Compiler r2p0 Linux 1CD(arm的t18工艺的memory)

Synopsys Siliconsmart vO-2018.09 Linux64 1DVD

Synopsys Siliconsmart vL-2016.03 Linux64 1DVD

Synopsys Milkway(MW) vL-2016.03 SP1 Linux64 1CD

Synopsys Milkyway vJ-2014.09 SP3 Linux64 1CD

Synopsys Milkyway vG-2012.06 SP3 Linux32_64 2CD

Synopsys.MW.vH-2013.03.Linux32_64 2CD

Synopsys NanoSim tool vC-2009.06 Linux 1CD

Synopsys NanoSim tool vC-2009.06 LinuxAMD64 1CD

Synopsys.NanoSim.vB-2008.09.Sparc64 1CD

Synopsys.NanoSim.vB-2008.09.SparcOS5 1CD

Synopsys MVtools vH-2013.06 Linux32_64 2CD

Synopsys.Mvtools.vJ-2014.12.SP1.Linux64 1CD

Synopsys Ncx vB-2008.12 Linux 1CD

Synopsys NS Hsim XA vD-2010.03 Linux 1DVD

Synopsys NS Hsim XA vC-2010.03 LinuxAMD64 1DVD

Synopsys NS Hsim XA vC-2009.06 SparcOS5 1CD

Synopsys NS Hsim XA vC-2009.06 SUS32 1CD

Synopsys NS Hsim XA vC-2009.06 SUS64 1CD

Synopsys NS Hsim XA vC-2009.06 x86SOL32 1CD

Synopsys NS Hsim XA vC-2009.06 x86SOL64 1CD

Synopsys NS Hsim XA vC-2009.06Sparc64 1CD

Synopsys.NT.vC-2009.06.Linux 1CD

Synopsys PCI-X v2.0 1CD

Snopsys Platform Aarchitecture vJ-2015.03 Linux 1DVD

Snopsys Powerprime vO-2018.06 Linux 1DVD

Synopsys PP vV-2003.12 SP1 Linux 1CD

Synopsys PrimePower StandAlone Tool vO-2018.06 Linu64 1DVD

Synopsys.PrimePower vY-2006.06 Linux 1CD

Synopsys Primerail vA-2008.12.SP1 Linux 1CD

Synopsys primerail vD-2010.06 SP1 LinuxIA32 1CD

Synopsys primerail vB-2008.12 SP1 LinuxAMD64 1CD

Synopsys PrimeTime 2000.05-1 for winNT 1CD(静态时序分析工具)

Synopsys Primetime vD-2009.12 SP3 Linux 1CD

Synopsys Primetime vD-2009.12 SP3 LinuxAMD64 1CD

Synopsys PT vO-2018.06 SP1 Linux64 2DVD

Synopsys PrimeTime StandAlone(PT) vP-2019.03 Linux64 2DVD

Snopsys PrimeTime StandAlone tool vP-2019.03 Linux 1DVD

Synopsys PrimeTime StandAlone(PTS) vO-2018.06 SP1 Linux64 1DVD

Synopsys pts vP-2019.03 Linux64 1DVD

Synopsys.PTS.vH-2013.06.Linux32_64 2DVD

Synopsys PWA tool vD-2009.12 Win32 1CD

Synopsys PWA tool vD-2009.12 Linux64 1CD

Synopsys Pycell Studio 2014.09 Windows 1CD

Synopsys Pycell Studio v2014.09 Linux 1CD

Synopsys Ranxt vD-2009.12 SP3 Linux32_64 2CD

Synopsys Ranxt vC-2009.06 SP1 Sparc64 1CD

Synopsys Ranxt vC-2009.06 SP1 SparcOS5 1CD

Synopsys Ranxt vC-2009.06 SP1 SUS32 1CD

Synopsys Ranxt vC-2009.06 SP1 SUS64 1CD

Synopsys Ranxt vC-2009.06 SP1 x86SOL32 1CD

Synopsys Ranxt vC-2009.06 SP1 x86SOL64 1CD

Snopsys Saber vO-2018.09 Win64 1DVD

Synopsys Saber vL-2016.03 Windows 1DVD

Synopsys Saber vJ-2015.03 Linux 1DVD

Synopsys Saber vI-2013.12 Linux 1DVD

Synopsys Saber vI-2013.12 Windows 1DVD

Synopsys Saber vE-2011.03 WinALL 2DVD(不同的工程领域–水利、电气、电子及机械等进行

                                 物理作用仿真的软件,也可作为信号流算法软件)

Synopsys SaberRD vJ-2015.03 Windows 2DVD

Synopsys SaberRD vD-2011.03.Win32 2DVD

Synopsys SaberHDL Y-2006.06 WinALL 1CD

Synopsys.Sentaurus.vG-2012.06.SP2.Linux 1DVD

Synopsys.Sentaurus.vH-2013.03.Linux64 1DVD

Synopsys Simif vC-2009.09.SP1. Linux 1CD

Synopsys Simif vB-2008.09 Sparc64 1CD

Synopsys Simif vB-2008.09 SparcOS5 1CD

Synopsys SpyGlass vP-2019.06 SP1 Linux 4DVD

Synopsys SmartModel Library v2009.06a Linux 1CD

Synopsys SmartModel Library v2009.06a Linux64 1CD

Synopsys.Sold.v2009.03.Linux 1CD

Synopsys SPW vE-2010.12 Win32 1CD

Synopsys SPW vE-2010.12 Linux 1CD

Synopsys ssd vA-2007.09 Linux 1DVD

Synopsys STARRC vO-2018.06 Linux 1DVD

Synopsys STARRC vK-2015.06 Linux64 1CD

Synopsys.Starrc.vH-2012.12.SP2.Linux32_64 2CD

Synopsys StarRCXT vD-2009.12 LinuxAMD64 1CD

Synopsys Spice Explorer 2012.06.SP1.WinALL 1CD

Synopsys.SpiceExplorer.vG-2012.06.SP1.Linux32_64 2CD

Synopsys Syn vO2018.06 SP1 Linux64 1DVD

Synopsys Synplify vP-2019.03 SP1 Windows 1DVD

Synopsys Synplify vL-2018.03 Windows & Linux 2DVD+2CD

Synopsys Synplify vL-2016.03-SP1 Windows & Linux 2DVD

Synopsys Synplify vK-2015.09 Windows 1DVD

Synopsys Synplify vJ-2015.03 SP1 Windows 1DVD

Synopsys Synthesis Tools tool vD-2010.03 Linux 1CD

Synopsys Synthesis Tools vO-2018.06 SP1 LinuxAMD64 1CD

Synopsys TCAD Sentaurus vD-2010.03.Linux 1DVD

Synopsys TCAD Sentaurus vI-2013.13 LinuxAMD64 1DVD

Synopsys Tcad Taurus Medici vD-2010.03 Linux 1CD

Synopsys Tcad Taurus MD vC-2009.06 LinuxAMD64 1CD

Synopsys Tcad Taurus Tsuprem4 vD-2010.03 Linux 1CD

Synopsys Tcad Taurus TS4 vC-2009.06 LinuxAMD64 1CD

Synopsys TetraMAX Overlay with Synthesis(tx) vK-2015.06 Linux64 1CD

Synopsys TetraMax vJ-2014.09 SP3 Linux64 1CD

Synopsys TX vC-2010.03 SP2 Linux 1CD

Synopsys TX vC-2009.06 SP3 LinuxAMD64 1CD

Synopsys TX vC-2009.06 SP1 SUS32 1CD

Synopsys TX vC-2009.06 SP1 SUS64 1CD

Synopsys TX vC-2009.06 SP1 x86SOL32 1CD

Synopsys TX vC-2009.06 SP1 x86SOL64 1CD

Synopsys TetraMAX StandAlone(txs) vK-2015.06 Linux64 1CD

Synopsys TXS vC-2009.06 SP3 Linux 1CD

Synopsys TXS vC-2009.06 SP3 LinuxAMD64 1CD

Synopsys TXS vC-2009.06 SP1 SUS32 1CD

Synopsys TXS vC-2009.06 SP1 SUS64 1CD

Synopsys TXS vC-2009.06 SP1 x86SOL32 1CD

Synopsys TXS vC-2009.06 SP1 x86SOL64 1CD

Synthesis Tools tool vZ-2007.03 SP1 Linux 1CD

Synopsys VIP(Verification IP) Linux 5CD(includ amba 6.51a,ethernet 6.21e,i2c 2.71b,ocp vrt 2.10a and pcie 8.01b)

Synopsys Waveform Viewer wv vQ-2020.03 Linux64 1CD

Sentaurus vX-2005.10 SP1 Linux 1CD

Hspice 2005.09 英文用户手册

Hspice 语法手册

Synopsys Star-HSpice v2006 03 SP1 1CD(电路仿真软件)

Synopsys.Star-Rcxt vB-2008.12 SP2 Linux 1CD

Synopsys.Star-Rcxt vB-2008.12 SP2 LinuxAMD64 1CD

synopsys.Vera.vI-2014.03.Linux32_64 2CD

Synopsys Vera vD-2009.12 Linux32_64 2CD

Synopsys Vera v6.3.10 solaris 1CD

Synopsys Verdi vP-2019.06 SP1 Linux64 1DVD

Synopsys Verdi3.vJ-201412.SP2.Linux32_64 2DVD

Synopsys Verdi3 vI-2014.03 Linux 1DVD

Synopsys.VCS.v6.0.1.WinNT_2k 1CD

Synopsys VCS vP-2019.06 Linux64 1DVD

Synopsys VCS vM-2017.03-SP2 Linux32_64 2DVD

Synopsys.VCS.vI-2014.03-2.Linux64 1CD

Synopsys VCS vG-2012.09 Linux32_64 2CD

Synopsys VCS Verification IP 2012.12 Linux 1CD

Synopsys VCS MX vN-2017.12 SP2 Linux64 1DVD

Synopsys VCS-MX.vH-2014.03.Linux32_64 2DVD

Synopsys.2001.08.Core.Synthesis.for.linux 1CD

Synplicity Amplify v3.7 1CD(第一款为FPGA设计的物理综合产品)

SynpliCity Identify RTL Debugger v2.0.1 1CD

Synopsys Synplify Pro vH-2013.03 Window 1DVD

Synopsys Synplify vF-2012.03 Linux32_64 2DVD

Synplify Fpga vF-2010.09 Linux 2CD

Synplify DSP v3.6 1CD

Synplify.Premier.v9.61 Linux 1CD

Synplify.Premier.v9.6.2.with.Identify.v3.02 1CD(针对复杂可编程逻辑设计的功能强大的FPGA 综合工具,独有的特性和极快的运算速

                        度使它成为业界的最流行的也是最强力的综合工具,而且还附加了调试于优化功能)

Synplify Pro v9.2.2 Linux 1CD

Synplify v8.5 with Identify v2.3.2 Linux 1CD

Synplify ASIC v5.02 for win & linux & sun & unix 1CD

Taurus Medici vV-2003.12 linux 1CD

Virtio VPAI 2.0 Platform 1CD

Microsemi产品:

Microsemi Libero SoC v12.4 Win64 2DVD(系统级芯片(SoC)综合设计软件)

Bosch Rexroth Group产品:

Bosch.Rexroth.Indraworks.v7.04-ISO 3CD(是一个简单易操作的工程环境,用于所有力士乐电子控制系统及驱动系统)

Bosch.Rexroth.WinStudio.v6.5.WinNT_2K 1CD(提供了制造执行系统(MES)和用于监控及性能监视功能的数据采集与监视控制系统(SCADA)

Intercept产品:

Intercept Pantheon 6.0.04B Win32 1CD(PCB/Hybrid/RF设计辅助软件)

Intercept Pantheon 6.0.04B Linux 1CD

Intercept Pantheon 6.0.04B Solars 1CD

SANDWORK DESIGN INC.产品:

Design Spice Explorer v2007.1 1CD

Design Spice Explorer v2003.1 Linux 1CD

Tanner产品:

Tanner.L-EDIT.pro.with.LVS.v10.0-ISO 1CD(IC设计验证系统软件)

Tanner.S-EDIT.v7.03 1CD(电路框架的制作和编辑工具)

Tanner.T-SPICE.Pro.v8.1(大规模模拟和混合信号IC的精确高效分析模拟软件)

Tanner EDA Tools v16.01 Win64 1DVD

TannerTools v2019.2 Win64 & Linux64 2DVD

TannerTools v16.3 Win64 1CD

Tanner Tools v15.01 1CD(集成电路设计环境)

AMTECH产品:

Amtech.v2006-ISO 1CD(强大的电气软件套装包括了电气设计、测试、检验、协同工作和快速单线缆计算等功能)

Amtech.ProDesign.NEC.v9.2.5-ISO 1CD(符合NEC及IEEEE标准设计与分析电子系统的功能强大的软件系统)

CIM-TEAM Inc.产品:

CIM-Team DDS-C R12 1CD(设计车间,机械建筑,采矿业以及发电厂的工程设计程序)

VANDERPLAATS R&D产品:

Valor Genesis v10.2 1CD

Valor Genesis v10.0 1CD

Valor Genesis v9.7 1CD

Valor Genesis v9.2c 1CD

Valor Genesis2000 v8.0a WinNT4_2K 1CD

Valor GeneSIS 2000 中文教程

Valor Enterprise 3000 v7.2.4 1CD(是为 OEMs 和 PCB 设计者开发的DFM软件。其实际上是一个虚拟的生产系统,

               可以让OEM厂商模拟整个生产过程:从设计到生产的整个流程。可以优化设计,

               减少修改次数,让你从快速的市场反应中获益,并且提高产品质量)

Valor EnterPrise 3000 v7.2.4 Docs Addon 1CD

华笙 v4.9 for WinXP 1CD

飞针IGI软件(igi8.20) 1CD

CADENAS产品:

Cadence.ADW.v16.60.015.Linux 1CD

Cadence Altos v12.12.000 Linux 1CD

Cadence.AMS.Methodology.Kit.6.12.Linux 7CD

Cadence ANLS v07.10.003 Linux 1CD

Cadence ASI v16.64.002 Win32_64 2DVD

Cadence ASI 16.63.000 Update Only Win32_64 2DVD

Cadence.ASI.v16.62.000.Update.Only.Linux 1DVD

Cadence ASI 16.62 Update Only Win64 1DVD

Cadence ASI v16.61 Update Only Win32_64 2DVD

Cadence ASSURA 6.16.04.14.001 Linux 1DVD

Cadence ASSURA v6.15.04.12.017 Linux 2DVD

Cadence.Assura v4.10.002 Linux 5CD

Cadence Assura v4.10.006 Update Linux 3CD

Cadence Assura v4.12.004.615 Update Linux 4CD

Cadence Assura v3.13 for IC4.46 Linux-ISO 2CD(新一代深亚微米模拟和混合IC版图验证、寄生参数提取以及分辩率增强可制造性解决方案)

Cadence SPB/OrCAD (Allegro SPB) v17.40.000-2019 Win64 1DVD

Cadence SPB Allegro and OrCAD v17.40.006-2019 Hotfix Only Win64 1DVD

Cadence Allegro and OrCAD (Including EDM) v17.20-2016 Win64 1DVD

Cadence.Allegro.and.OrCAD.v17.20.056.Hotfix.Only 1DVD

Cadence Allegro and OrCAD 17.20.052 Hotfix Only 1DVD

Cadence Allegro and OrCAD (Including EDM) v17.20.000-2016 HF042 Update Only Win64 1DVD

Cadence Allegro and OrCAD 17.20.000-2016 HF045 Update 1DVD

Cadence SPB 17.20.000 Linux 1DVD

Cadence SPB 17.20.007 Hotfix Only Linux 1DVD

Cadence.OrCAD.Allegro.v17.20.030.Hotfix.Only 1DVD

Cadence OrCAD and Allegro 17.20.049 Hotfix Only 1DVD

Cadence SPB/OrCAD (Allegro SPB) v17.00-ISO 1DVD(电子电路设计软件)

Cadence Allegro and OrCAD (Including ADW) 17.00.001 Hotfix 1CD

Cadence SPB/OrCAD (Allegro SPB) v17.00.001.Hotfix.1 Windows 1CD

Cadence Allegro and OrCAD (Including ADW) v17.00.005 Hotfix 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60-ISO 5CD(电子电路设计软件)

Cadence SPB/OrCAD (Allegro SPB) v16.60.018 Update Only 1DVD

Cadence SPB/OrCAD (Allegro SPB) v16.60.014 Update Only 1DVD

Cadence SPB/OrCAD (Allegro SPB) v16.60.013 Update Only 1DVD

Cadence SPB/OrCAD (Allegro SPB) v16.60.012 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.011 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.010 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.008 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.007 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.006 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.003 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.001 Update Only 1CD

Cadence OrCAD Library Builder & Documentation Editor v16.6 Win32 1CD

Cadence Allegro and OrCAD (Including EDM) 17.20.007 Linux 2CD

Cadence SPB/OrCAD (Allegro SPB) v17.00.0 Linux 1DVD

Cadence SPB/OrCAD (Allegro SPB) v16.30.019 Linux 3CD

Cadence OrCAD Capture CIS 9 实用教程 1CD

Cadence OrCAD 问题集锦 1CD

Cadence.Allegro.PCB.Design.v16.2-ISO 3CD(专业的电路板的设计软件,适合于一个全新项目的PCB 设计)

Cadence.Allegro.PCB.v16.20.014 Update Only 1CD

Cadence.BSIMProPlus.v5.1 1CD(提供了全套的解决方案,包括采用Virtuoso器件模型(BSIMProPlus)来提取

                         和调整硅的可靠性模型以及用UltraSim全芯片模拟器进行的全芯片可靠性模拟和分析)

Cadence C-to-Silicon Compiler (CtoS) Product v11.10 Linux 2CD

Cadence Ccopt 2012 Linux 1CD

Cadence.CICE.v05.01.000.Linux 1CD

Cadence Clarity 2019 v19.00.000 1DVD(Clarity3D场求解器,为系统级分析和设计提供前所未有的性能及容量)

Cadence Conformal v19.20 Linux 1DVD

Cadence Conformal v15.20.100 Linux 1CD

Cadence Conformal v8.1 Linux64 1CD

Cadense Conformal LEC v10.1 Linux 1CD

Cadence CTOS v13.20.200 Linux 1CD

Cadence.CTS v9.1 Linux 1CD

Cadence Encounter EDI v14.2 Linux 1DVD

Cadence.EDI-ISR3.v13.23.000.Linux 1DVD

Cadence EDI v13.12.000 Linux 1DVD

Cadence EDI v12.0 Linux 6CD(即SOC-Encounter,完整的综合布局布线系统)

Cadence EMGR v08.02.001 Linux 1CD

Cadence Encounter RTL Compiler v9.10.100 Linux 1CD

Cadence Encounter timing system(ETS) v11.11.001 Linux 2DVD

Cadence Encounter Test 15.12.000 Linux 1DVD

Cadence Encounter Test(ET) v13.10.100 Linux 1DVD

Cadence EXT 18.21.000 ISR1 Linux 2DVD

Cadence.EXT.v13.20.157.Linux 1CD

Cadence Forte CynThesizer 05.03.400 Linux 3CD

Synopsys GENUS 19.11.000 ISR1 Linux 1DVD

Cadence GENUS 15.2 Linux 3DVD

Cadence Jaspergold v20.03 Linux 1DVD

Cadence JasperGold v2015.12 Linux 1CD

Cadence Joules v19.13 Linux 1DVD

Cadence Kitsocv v08.20.003 Linux 3CD

Cadence KMC v04.14.000 Linux 1CD

Cadence KQV v05.13.002 Linux 1CD

Cadence PDK Automation System (PAS) Release v03.05.003 Linux 1CD(最新版PDK自动化系统)

Cadence PDK Automation System (PAS) Release v03.05.003 Windows 1CD

Cadence PAS v3.1 Linux 1CD(PDK自动化系统)

Cadence.Pcell.PAS.v3.1.Linux 1CD

Cadence PSD 15.1-ISO 3CD(EDA开发工具包,它提供了从原理图设计输入、分析,PCB设计、PCB制造文件输出等一整套工具)

Cadence PVE v12.10.488 Linux 1DVD

Cadence PVS 16.13.000 ISR3 Linux 1DVD

Cadence Physical Verification System(PVS) v10.1 Linux 1CD

Cadence Physical Verification System(PVS) v10.12.155 Update Only Linux 1DVD

Cadence SOCKIT v08.02.001 Linux 1CD

Cadence.RC.v12.22.000.Linux 1CD

Cadence RFKIT v8.1 Linux 4CD

Cadence RFSIPKT v07.02.001 Linux 1CD

Cadence.SPB.v16.3.Linux 5CD

Cadence SPMN v08.02.001 Linux 1CD

Cadence TSI v6.1 Linux 2CD

Cadence.TTI.v01.30.001.Linux 1CD

Cadence Spectre X Simulator v19.1 Linux 1DVD

Cadence SPECTRE 19.10.064 Linux 1DVD

Cadence Spectre v17.10.124 Linux 1DVD(就是最新的MMSIM 3.68G)

Cadence MMSIM 15.10.385 Linux 7DVD

Cadence MMSIM v14.10.255 Linux 2DVD

Cadence MMSIM v13.1 Linux 5CD

Cadence MMSIM v12.10.317 Linux 7CD

Cadence MMSIM v11.10.445 Linux 2DVD

Cadence MMSIM v10.11.017 Update Linux 1DVD

Cadence MMSim v10.10.204 Linux 3CD

Cadence MMsim v7.11.071 Linux 6CD

Cadence MMsim v6.2 linux 7CD

Cadence MVS 15.20.000 Linux 1CD

Cadence MVS v12.11.465 Linux 1DVD

Cadence NEOCKT-03.04.011 Linux 1CD

Cadence IC Craftsman v11.241 1CD

Cadence IC 6.1.8.500.2 Linux64 1DVD

Cadence IC Design Virtuoso 06.18.030 Linux 3DVD

Cadence IC Design Virtuoso v6.17.722 Linux 1DVD

Cadence IC Design Virtuoso v6.1.6 ISR8 Linux 6DVD

Cadence.IC.Design.Virtuoso.06.17.721.Hotfix.Only 1DVD

UofU.Digital.v1.2 for Cadence IC v5 (CDB) 1CD

UofU.Digital.v1.2 for Cadence IC v6 (OA) 1CD

Cadence IC5141 ISR200906100325 Linux 4CD(IC5141最新升级文件)

Cadence.ICADV.v12.30.700.Linux 1DVD

Cadence IFV v8.20.012 Linux 2CD

CADENCE INCISIVE v15.20.001 Linux 13DVD

Cadence INCISIVE v15.20.002 Hotfix Linux 6DVD

Cadence INCISIV 14.10.014 Linux 2DVD

Cadence INCISIV v13.20.002 Linux 1DVD

Cadence.Incisive.Enterprise.Simulator(IES) v8.2 Linux 1CD

Cadence InCyte Chip Estimator v03.04.008 WinALL 1CD

Cadence Indago 15.10.001 Linux 2DVD

Cadence INNOVUS 19.10.000 Linux 1DVD

Cadence Liberate 15.10.000 Linux 1DVD

Cadence.Logic.Design.and.VerifiCation(LDV).v5.1-ISO 1CD(逻辑设计与验证)

Cadence.Logic.Design.and.VerifiCation(LDV).v5.1.Linux 2CD

Cadence Low Power Methodology Kit v08.02.001 Linux 3CD

Cadence iScape v4.21 Linux 1CD

Cadence IUS v5.4 Win32-ISO 1CD

Cadence Incisive Unified Simulator(IUS) v10.2 Linux 1DVD

Cadence Xcelium v18.03.001 Linux 1DVD(即原IUS)

Cadence.Allegro.v13.6-ISO 1CD(系统互联设计平台,此平台具有缩短PCB设计周期, 显著提高生产效率的特点)

Cadence SEV v4.1 Linux 1CD

Cadence Design Systems Sigrity 2019 v19.0 Win64 3DVD(PCB电路设计仿真软件)

Cadence Design Systems Sigrity v19.00.003-2019 Hotfix Win64 1DVD

Cadence Design Systems OpenPOWER Compliance Kits for Sigrity 2017 1DVD

Cadence Quantus QRC EXT v15.23.000 Linux 3DVD

Cadence Sigrity 2016 v16.00.002 Win64 1DVD

Cadence Sigrity 2016 Windows 1DVD

Cadence Sigrity 2015 Win64 1DVD

Cadence SPW v4.9 Linux 1CD

Cadence SSV v15.20.000 Linux 1DVD

Cadence Stratus 15.20.100 Linux 2DVD

Cadence Stratus v17.10.100 Base Linux 1DVD

Cadence Stratus v17.15.100 Update linux 1DVD

Cadence.SWI.v13.10.001.Linux 1CD

Cadence VMANAGERMAIN v19.09.003 Linux 1DVD

Cadence VSDE v4.1 ISR17 Linux 1CD

Cadence Generic PDK090 v3.7 Linux 1CD

Cadence Generic PDK

Cadence CONFRML v19.20.000 Linux 1DVD

Cadence CONFRML v17.10.100 Linux 1CD

Cadence.VG.GNU.PACKAGE.2012.09.Linux 1DVD

Cadence VIPCAT v11.30.021 Linux 2DVD

Cadence XCELIUMMAIN 19.09.008 Linux 6DVD

Cadence XCELIUMMAIN v18.03.001 Linux 1DVD

Cadence ZYNQVP v11.10.055 Linux 1CD

Cadence.IC设计.全资料教材 1CD

Allegro 14.2 中文教材

Allegro 15.X学习与使用(中文)

Cadence Allegro简易手册(中文版)

Cadence 使用参考手册(中文版)

CADence PCB设计中文教程

Ultra Librarian v7.5.114 1CD

ULTRA Librarian Gold v3.0.1034 1CD(程序库设计工具)

Conformal Constraint Designer v6.1 1CD(在给定设计问题下确保有效时序约束的产品)

Specctra (Allegro PCB Router) 16.6 112 Win32 1CD

Cadence.Specctra.Router.v10.2 1CD(功能强大的PCB无网格自动布线器)

Cadence.Specman.Elite.v5.0.Linux-ISO 1CD

Orcad Library Builder v16.6.62 1CD

SKILLCAD v41R Linux64 1CD

SpringSoft产品:

Laker.vL-2018.06.Linux64 2DVD

Laker 2012.07 Linux32_64 & SOL7 & SOLARIS2 & Symbol 1DVD

Laker v2011.06 Linux32 1CD

Laker v2011.06 Linux64 1CD

Laker 2009.12 P2 Linux 1CD

Laker 2009.12 P2 LinuxAMD64 1CD

Laker 32 v3 REDHAT9 1CD

Laker 31 v3p6a REDHAT72 1CD

Laker 32 v3p6 SOL7 1CD

Laker 32 v3p6 SOLARIS2 1CD

Laker 2009.12 P2 Symbol 1CD

Laker 2009.12 P2 Document 1CD

Laker 32 v3p6 LabBook

Laker Document 1CD(适用3.0版和更高版的用户手册)

Laker.ADP.v2015.03.Linux32_64 2CD

Laker AMS v6.1p4 WinALL 1CD(电路图设计与调试环境)

Laker AMS v6.1p4 Linux

Laker.OA.vJ-2014.09-SP1-4.Linux64 1DVD

ADP 2012.07 Linux32_64 & SOL7 & SOLARIS2 & Symbol & Xlib 1CD

ADP 21 v3p5 Linux 1CD

ADP 21 v3p5 LinuxAMD64 1CD

ADP 21 v3p5 REDHAT9 1CD

ADP 21 v3p5 SOL7 1CD

ADP 21 v3p5 SOLARIS2 1CD

ADP 21 v3p5 symbol 1CD

ADP 21 v3p5 Document 1CD

Intusoft产品:

ICAP/4 v8.1.6 for WinAll 1CD(电源仿真软件)

Intusoft Magnetics Designer v4.1.0 Build 350 1CD

Aegis产品:

CircuitCAM v7.5 1CD

Aegis.CircuitCAM.Suite.v6.0.2.2 1CD(生成设备程式、建模软件)

BoardMaster LPKF v5.1 Full 1CD

LPKF CircuitCAM 6.1.5 build 1159 1CD(一个高端成熟的电路板生产CAM软件)

Circuitcam v5.0使用手册(中文)

Aucotec产品:

Aucotec ELCAD v7.8.0 Multilingual-ISO 1CD(ECAE系统,电子工程软件)

ELCAD AUCOPLAN 2019 1CD

Altium产品:

Altium CircuitStudio 1.0.4 build 41779 1DVD

Altium.Concord.Pro.2020.v1.1.7.76.Win64 1DVD

Altium.Concord.Pro+MCAD.Plugins.v1.0.1.22 1CD

Altium Designer Beta 21.0.4 Build 50 Win64 1DVD

Altium Designer 20.1.8 Build 145 1DVD(电子产品开发系统)

Altium CERN Library 2014 1CD(电气元件库)

Altium Designer v10.818.23272 with All Plugins, Examples, Libraries 1DVD(9.61 G)

Altium Designer Winter 10 v10.600.22648 Win64-ISO 2DVD(电子产品开发系统)

Altium NEXUS 3.2.7 build 87 Win64 1DVD(一个完整的端到端设计环境,用于电子印刷电路板设计)

Altium NEXUS Server 1.1.4.125 Win64 1CD

Altium Protel DXP v7.2.92.With.SP3 WinNT-ISO 1CD

Altium Vault 3.0.13 1CD

Protel DXP Altium v7.0 WinNT_2000_XP-ISO 1CD

Protel Dxp 2004 sp2-ISO( 完全安装版)

Protel DXP 2004 Sp4 1CD

Protel DXP 2004 Sp4 IntegratedLibraries 1CD

Protel DXP 2004 Sp3 集成库 1CD

Protel DXP 2004汉化及工具

Protel 98-ISO 1CD (简体中文破解版)

Protel 99SE Sp6 1CD(简体中文版,含第二版)

Protel 99 正式版 1CD

Protel 99 SE 的入门说明书(中文版)

Protel DXP Fpgalibraries 1CD

Protel DXP Trial Version 1CD

Protel DXP 电路设计及应用教程

Protel DXP 培训教材(中文)

P-CAD v2006.With.SP1-ISO 1CD(印制线路板设计软件)

P-CAD v2006.SP2 1CD

Simetrx/Simpis v4.2-ISO 1CD(混合信号电路仿真软件)

InduSoft Web Studio v7.1 SP3 1DVD(功能强大的自动化整合开发工具)

Tasking C166/ST10 v7.5 r2-ISO 1CD(嵌入式软件开发工具套件)

Tasking C166 v7.5 r2 1CD

Tasking C FOR 196_296 v6.0 R1 1CD

Tasking Dsp Suite v2.0r0 For Motorola Dsp5600X 1CD

TASKING VX-toolset for TriCore v3.5r1 1CD

TASKING VX-toolset for TriCore v4.3r3 1CD

FAMIC TECH INC产品:

Automation Studio P6 SR9 Win32-ISO 1DVD

Automation Studio 6.0.0.10932 Win32 1CD(电路设计、模拟和项目文件软件)

NEC产品:

EMCoS Studio 2017 Win64 1DVD

EMCoS 2013 EM Simulation Suite 1DVD

 include:

        EMCoS Antenna VirtualLab 1.0

        EMCoS PCB VLab 1.0

        EMC Studio 7.0

EMCoS Antenna VLab 1.01 1CD

EMC Studio v7.0 1CD(电磁兼容分析软件)

NEC EMIStream v4.5001 1CD(EMC防真软件)

EM.Cube 2013.Win32_64 2CD

Remcom, Inc.产品:

XFDTD.Bio-Pro.v6.3.8.4.Win2k_XP 1CD(高频电磁分析模拟软体)

XFDTD v7.0 1CD(美国REMCOM公司开发的一款基于电磁数值计算方法FDTD的全波三维电磁仿真软件)

XFDTD v7.3.0.3 Win64 1CD

XGTD v2.1 1CD(电磁仿真和分析软件)

Remcom XFDTD XF7 7.3.0.3 Win64 1CD

CST产品:

CST STUDIO SUITE 2020 Win64 2DVD

CST STUDIO SUITE 2020.07 SP7 Update Only Win64 1CD

CST STUDIO SUITE 2020.01 SP1 Update Only Win64 1CD

CST STUDIO SUITE 2019 Win32_64-ISO 1DVD

CST STUDIO SUITE 2019.0.4 SP4 Update Only Win64 1CD

CST STUDIO SUITE 2019 SP1 (2019.01) Update Only 1CD

CST STUDIO SUITE 2019 for Linux 1DVD

CST STUDIO SUITE 2016 SP1 Win32_64-ISO 1DVD

CST Studio Suite 2016 SP6 Update Only 1CD

CST.Studio.Suite.v2015.00.Win32_64-ISO 1DVD

CST Studio Suite 2015 SP6 Update Only 1CD

CST.Studio.Suite.v2014.Win32_64-ISO 1DVD

CST.Studio.Suite.v2014.SP6.Update.Only 1CD

CST.Studio.Suite.v2012.With.SP5.WinALL 1DVD

CST Studio Suite 2012 SP8 Update Only 1CD

CST Studio Suite 2012 SP7 Update Only 1CD

CST Studio Suite 2012 SP6 Update Only 1CD

CST.Studio.Suite.v2008.Linux.DVD-ISO 1DVD(完整版-全模块电磁仿真软件)

CST Microwave Studio v5.1.3-ISO 1CD(电磁仿真)

CST Design Studio v3.0 1CD(与CST Microwave Studio配套使用的设计数据/流程管理工具,用来支持与其他工具

                       交换数据以及分割设计数据和程序库化等作业)

CST.MicroStripes.2009.v8.0 1CD

CST.MicroStripes.2009.v8.0.x64 1CD

CST Em Studio v2.0 1CD(低频电磁场的分析和设计软件)

CST Mafia v4.1 1CD

CST Filter Designer 3D 2020(CoupleFil最新版) 1CD

Antenna Magus Professional 2020.3 v10.3.0 Win64 1DVD

Antenna Magus Professional 2020.1 v10.1.0 Win64 1DVD

Antenna Magus Professional 2019 v9.0.0 1DVD(天线设计)

FEST3D 2018.02.00 Win32_64 1DVD(专为无源波导器件的数值模拟而设计的仿真工具)

APLAC SOLUTIONS产品:

PCselCAD v10.03 中文版-ISO 1CD(带正版数据库,机电-电气CAD绘图软件)

PCSCHEMATIC Automation 40 v20.0.3.54 1CD(电气项目设计工具)

PCschematic ELautomation v9.0.6 正式免狗中文版 1CD(带正版数据库压缩包)

PCschematic ELautomation v9.0 1CD(英文版)

PCschematic (施耐德)元件库

PCschematic 完整教程

PL7 Pro v4.4 1CD

Schneider Electric SoMachine 4.1 SP1.2 Win64 1DVD(一款集成Vijeo-Designer软件的开放、高效的专业软件解决方案)

Schneider Electric SoMachine v4.1.0 Win32_64 1DVD

Schneider-Electric.Unity.Pro.XL.v7.0-ISO 1DVD(含简体中文版)

Schneider Electric Vijeo Citect v7.40 SP1 1DVD

Schneider Electric Vijeo Desiner v4.6-ISO 1CD(含简体中文版)

Sepam SFT2841 v10.0 1CD

IAR产品:

IAR EWAVR v5.3.02-ISO 1CD

IAR Embedded Workbench for 78K v4.81.1 1CD

IAR.Embedded.Workbench.for.8051.v8.30.1.Full 1CD

IAR.Embedded.Workbench.for.8051.MSC-51.v7.20D(嵌入式开发环境,包括编辑、编译、连接、调试软件,主要支持8到16位处理器)

IAR.EW430.320A 1CD(嵌入式工作台)

IAR.Embedded.Workbench.for.68HC12.v2.44A

IAR Embedded Workbench for ARM 8.50.9 1DVD

IAR.Embedded.Workbench.for.Atmel.AVR.v5.50.1 Full 1CD

IAR.Embedded.Workbench.for.Atmel.AVR32.v4.30F-ISO

IAR.Embedded.Workbench.for.Atmel.EWAVR.v4.20C.Full

IAR.Embedded.Workbench.for.Arm.Ewarm.v3.11A-ISO

IAR Embedded Workbench for AVR v6.80.8.Full 1CD

IAR Embedded Workbench for AVR32 v3.31.3 1CD

IAR.Embedded.Workbench.for.CR16C.v3.30

IAR.Embedded.Workbench.for.Dallas.Semiconductor.Maxim.MAXQ.v1.13C

IAR.Embedded.Workbench.for.Dspic.v1.30A(整套的嵌入式开发环境,包括编辑、编译、连接、调试软件,主要支持8到16位处理器)

IAR Embedded Workbench for Freescale Coldfire v1.23.1 1CD

IAR.Embedded.Workbench.for.Freescale.HCS12.v3.20.2 1CD

IAR Embedded Workbench for Freescale HCS08 v1.20.2 1CD

IAR.Embedded.Workbench.for.H8.v1.53I

IAR Embedded Workbench for HCS12 v4.10.1 1CD

IAR Embedded Workbench for M16C & R8C v3.71.1 1CD

IAR.Embedded.Workbench.for.MAXQ.v2.30.1

IAR.Embedded.Workbench.for.MCS-51.v7.21A

IAR.Embedded.Workbench.for.Mitsubishi.740.v2.16A

IAR.Embedded.Workbench.for.MK5.v1.24A

IAR.Embedded.Workbench.for.MSP430.v7.12.1 1DVD

IAR.Embedded.Workbench.for.NEC.v850.v3.30

IAR.Embedded.Workbench.for.NEC.78K.v4.40A

IAR Embedded Workbench for National Semiconductor CR16C v3.10.1 1CD

IAR Embedded Workbench for Microchip AVR 7.30.3 1CD

IAR Embedded Workbench for Microchip PIC18 v3.10

IAR.Embedded.Workbench.for.PICmicro.v2.21A

IAR Embedded Workbench for R32C v1.40.2 1CD

IAR Embedded Workbench for Renesas 32C v3.30.1 1CD

IAR Embedded Workbench for Renesas 78K v4.71.2 1CD

IAR.Embedded.Workbench.for.Renesas.H8.v2.10A

IAR.Embedded.Workbench.for.Renesas.M16C/R8C.v3.50.6 1CD

IAR.Embedded.Workbench.Pro.for.Renesas.Mitsubishi.M16C.EWPM16C.v2.12A.FULL

IAR.Embedded.Workbench.for.Renesas.M32C.v3.30.1 1CD

IAR.Embedded.Workbench.Pro.for.Renesas.Mitsubishi.M32C.v2.11A.FULL

IAR Embedded Workbench for Renesas R32C v1.31.1 1CD

IAR Embedded Workbench for Renesas RX v4.20.1 1DVD

IAR Embedded Workbench for RL78 v3.10.1 Win32_64 1CD

IAR Embedded Workbench for Renesas RH850 v2.10.1 1CD

IAR Embedded Workbench for RH850 v14.0.1 1CD

IAR Embedded Workbench for RX v3.10.1 1CD

IAR.Embedded.Workbench.for.Samsung.SAM8.v2.21A.FULL

IAR Embedded Workbench for STMicroelectronics STM8 1.40.1 1CD

IAR Embedded Workbench for SuperH 2.30 1CD

IAR Embedded Workbench for Renesas V850 v3.71.1 1CD

IAR Embedded Workbench for STM8 v3.11.1 1CD

IAR.Embedded.Workbench.for.TI.MSP430.v3.41A 1CD

IAR.Embedded.Workbench.for.TI.MSP430.EW430.v3.10A.FULL 1CD

IAR Embedded Workbench for V850 v5.10.1 1CD

IAR Embedded Workbench for ZiLOG eZ80 1.34A 1CD(C/C++编译器和调试器)

IAR.Embedded.Workbench.for.ZiLOG.Z80.v4.06A Full

IAR Embedded Workbench Limited Edition for 6502

IAR.MakeApp.for.Buletooch.Protocol.Stack.Embedded.Edition.v1.40A.FULL

IAR.Embedded.Workbench.AVR.v2.27B

IAR.PowerPac.for.ARM.v2.32.2 1CD(具有丰富功能的实时嵌入式操作系统(RTOS),并包含一个高性能的文件管理系统)

IAR PowerPac Base for ARM v2.40.2-ISO 1CD

IAR PowerPac GUI Basic for ARM v2.40.2-ISO 1CD

IAR PowerPac GUI Professional for ARM v2.40.2-ISO 1CD

IAR PowerPac TCP/IP Base for ARM v2.40.2-ISO 1CD

IAR PowerPac USB Device for ARM v2.40.2-ISO 1CD

IAR PowerPac USB Host for ARM v2.40.2-ISO 1CD

IAR AVR C-SPY ROM-Monitor Debugger v5.40.1 1CD

IAR VisualState v6.3.2 1CD(图形化状态机设计工具, 它能为嵌入式系统产生非常紧凑的c代码)

IAR中文使用手册

Flowcode 8.0.0.6 Professional Version 1CD

Flowcode 8.0.0.6 XC Compilers 3CD(for PIC PIC16 PIC32)

Flowcode 8.0.0.6 Other Compilers 4CD(for ARM AVR RPI STARM)

Renesas.Nc30WA.v5.30.R02.Final

RA产品:

Rowley.Associates.CrossWorks.for.ARM.v1.5.Build.2 1CD

Rowley.Associates.CrossWorks.for.AVR.v2.0 1CD

Rowley.Associates.CrossWorks.for.MAXQ.v2.0 1CD

Rowley.Associates.CrossWorks.for.MSP430.v2.0 1CD

NASSDA CORP.产品:

Nassda.Critic.v5.0.01.2005 1CD(一款EDA工具,这是Windows版本)

Nassda.Critic.v5.0.01.2005.Linux 1CD(这是Linux版本)

Nassda.Hanex.v5.0.01.2005 1CD(业界领先的电路级时序与串扰分析工具,这是Windows版本)

Nassda.Hanex.v5.0.01.2005.Linux 1CD(这是Linux版本)

Nassda.Hsim.v5.0.01.2005 1CD(全球第一个满足深亚微米设计需求的全电路、层次化的晶体管级仿真器,这是Windows版本)

Nassda.Hsim.v5.0.01.2005.Linux 1CD(这是Linux版本)

TimingDesigner.v9.2 1CD(时域分析和图示工具)

TimingDesigner.v9.2 Linux 1CD

TimingDesigner.v9.2 Solaris 1CD

Precience产品:

PCB Navigator 5.1 1CD

SCHMID & PARTNER ENGINEERING AG产品:

SemCAD v13.4 1CD(SEMCAD 为复杂环境的近场分析提供了高端电磁模拟平台,可为电磁场的IIS/IT’IS 研究提供支持和帮助,

      同时可帮助在芯片级的EMC/EMI和EM 模拟,天线设计等,SEMCAD用户界面友好、强大(基于ACIS3D模型工具包)

Syncopation.Software产品:

DPL.Fault.Tree.v6.03.03 1CD(人工智能分枝决策树技术应用软件,核电站的安全保证分析,

             卫星发射装置的可靠性分析, 计算机网络的安全性分析等)

DPL.Professional.v6.03.02 1CD(人工智能分枝决策树技术应用软件,增加更多的功能与分析模块, 如策略树建模, 时间系列分析等)

Telemagic.AB产品:

Telelogic.Rhapsody.v8.04.Win32_64 2DVD

Telelogic.Rhapsody.v7.4.Windows-ISO 1CD(嵌入式仿真开发)

Telelogic.Rhapsody.v7.2.Linux-ISO 1CD

Telelogic.Rhapsody.v7.2.Documentation-ISO1CD

Telelogic.Doors.v7.1 1CD(需求管理软件)

Telelogic.Rhapsody.Adapters.v7.1.WiNNT2K 1CD

Telelogic.Rhapsody.Cygwin.Adapter.v7.0 1CD

Telelogic.Rhapsody.Gateway.v1.4.WiNNT2K 1CD

Telelogic.Rhapsody.Integrity.Adapter.v7.0 1CD

Telelogic.Rhapsody.Nucleus.C.Adapter.v7.0 1CD

Telelogic.Rhapsody.Nucleus.C.Plus.Plus.Adapter.v7.0 1CD

Telelogic.Rhapsody.Reporter.Plus.v7.0 1CD

Telelogic.Rhapsody.Sodius.Toolkit.v7.1.WiNNT2K 1CD

Telelogic.Rhapsody.VxWorks.Adapter.v7.0 1CD

Sodius.Rhapsody.RulesComposer.v7.0.24 1CD

Telelogic.TAU.Generation2.v2.4-ISO 1CD(可视化系统、软件开发和测试工具环境)

Telelogic.TAU.Generation2.v2.4.SP1-ISO 1CD

Telelogic.Rhapsody.OSC.Tools.v7.1.WiNNT2K 1CD

OSC.Automatic.Test.Generation.v3.1.356.for.Rhapsody.7.0 1CD

OSCTest.Conductor.v1.7.421.for.Rhapsody.7.0 1CD

Sodius.XMI.toolkit.for.Rhapsody.v7.0.13 1CD

I-Logix.Statemate.v4.1-ISO 1CD(面向功能需求的系统级自动设计软件包)

HOMER Energy LLC产品:

Homer Pro 3.14.2 Win64 1CD

HOMER.Energy.HOMER.Pro.v3.11.6561.Win64 1CD

HOMER Pro 3.11.2 Win64 1CD(世界领先的微电网建模软件)

VSI产品:

VisSim.v8.0 1CD(可为复杂的控制系统、通讯系统进行建模仿真,可为DSP及嵌入式系统进行样机原型快速开发)

VisSim.C-Code.v6.0 1CD(自动将 VisSim 的简图翻译成被高度优化的符合 ANSI 的 C 语言标准的程序源代码)

VisSim.Comm.v6.0A 1CD(卫星、终端等的通信分析软件)

VisSim.Embedded.Controls.Developer.v6.0 1CD(为速成原型法和内嵌控制系统提供一个开发环境)

VisSim.ECD.for.TI.C2000.v5.0e.Win9xNT2K 1CD(用于TI C2000系列DSP快速样机开发,它可以为TI公司的DSP

                      家族中的C2000系列快速开发运动控制系统板的样机原型)

VisSim.Neural-Net.v6.0 1CD(优势在于非线性系统的识别方面,问题的诊断,决策系统,预测系统,和其他的的一些特殊环境)

VisSim.Real-TimePRO.v6.0 1CD(提供给用户依靠“实”处理器或者控制器,直接连接 VisSim 系统模型)

Celoxica产品:

Celoxica.Agility.Compiler.v1.3 1CD(Agility C编译器)

Celoxica.Agility.Compiler.v1.3.Linux.Debian 1CD

Celoxica.DK.Design.Suite.and.PDK.v5.0.SP5 1CD(高阶设计方法)

Concent Engineering产品:

SpiceVision v5.1.3 1CD(很流行的通用型积体电路模拟程序,可处理非线性直流,非常线暂态等功能)

SpiceVision v2.1 WinALL 1CD

Spice Vision 2.1 Linux 1CD

单片机软件:

Dolphin.Solutions.2013.Q2.1.Windows 1CD

Dolphin.Integration.Smash.v6.10 1CD(混合信号兼顾多层次模拟软件,能完全符合混合类比与逻辑信号电路的需求)

Dolphin.Integration.SMASH.v5.17.0.Linux 1CD

Dolphin.Smash.v5.12.2.Solaris 1CD

Dolphin.Integration.SoC.GDS.v6.10.0 1CD( 片上系统图形显示工具)

Dolphin Soc.GDS v6.30 for Linux 1CD

Dolphin.SoC.GDS.v6.30.LINUX.x64 1CD

Dolphin.SoC.GDS.v6.30.Solaris 1CD

Dolphin.SoC.GDS.v6.30.Solaris64 1CD

Dolphin Soc.GDS v5.6 for HP-UX 1CD

HDL.Works.HDL.Companion.v2.8.R2.for.Windows 1CD(用来获得对你的HDL设计的一个很好的总揽,并将其保持的一个独一无二的工具)

HDL.Works.HDL.Companion.v2.8.R2.for.Linux.x64 1CD

HDL.Companion.v2.7.R1.Linux 1CD

HDL.Desing.Entry.ConnTrace.v1.2.R1.for.Linux 1CD

HDL.Desing.Entry.ConnTrace.v1.2.R1.for.Windows 1CD

HDL.Works.HDL.Design.Entry.EASE.v8.4.R3.for.Windows 1CD(集成电路芯片设计工具)

HDL.Works.HDL.Design.Entry.EASE.v8.4.R3.for.Linux.x64 1CD

HDL.Works.HDL.Desing.Entry.ConnTrace.v1.3.R1.for.Linux 1CD

HDL.Works.HDL.Desing.Entry.ConnTrace.v1.3.R1.for.Windows 1CD

HDL.Design.Entry.EASE.v8.1.R7.for.Linux 1CD

HDL.Entry.Ease.v6.0.R11.SOLARIS 1CD

HDL.Works.IO.Checker.v3.3.R4.for.Windows 1CD

HDL.Works.IO.Checker.v3.3.R4.for.Linux.x64 1CD

HDL.Works.IO.Checker.v2.2.R5.for.Linux 1CD

Sigasi Studio v4.7 Win32_64 1CD(非常专业的 HDL 开发环境)

TransLogic HDL ComPanion v1.2 R2 Solaris 1CD

Translogic HDL Entry Ease and Eale v5.1R9 1CD

Translogic HDL Entry Ease and Eale v4.1.7 Linux 1CD

Translogic Ease v5.2 R10 and Eale v5.2 R8 1CD

Aldec Active-HDL v10.2.3312.5682 Win64 1CD

Aldec Active-HDL v10.1 Win32_64 2CD(ALDEC公司的Active-HDL是一个开放型的仿真工具)

Aldec Active-HDL v9.3 Win32 1CD

Aldec.Active.HDL.v6.3.VERILOG.Libaraies.Addon 1CD

Aldec.Active.HDL.v6.3.VHDL.Libaraies.Addon 1CD

Aldec.Active.HDL.v6.3.Xilinx.Schemetic.Libaraies.Addon 1CD

Aldec.Riviera-PRO.2017.02.99.Win32 1CD

Aldec.Riviera-PRO.2015.02.76.Win32_64 2CD

Aldec Riviera-PRO 2014.06 Win32_64 & Linux 3CD

Aldec Riviera-PRO 2014.2 Win64 1CD

Aldec Riviera-Pro v2013.10.81 Win32_64 2CD(业界独特的单内核VHDL/Verilog和EDIF混合仿真器)

Aldec.Riviera.v2007.02.Linux 1CD

Aldec.Riviera.v2007.02.LiNUX64 1CD

Aldec.ALINT.v2012.12.SR2.Win32_64 2CD(可支援Verilog语法的设计规则检验器)

Aldec.ALINT.v2008.02.Linux 1CD

ASAP7 PDK v1p7 Linux 1DVD

CodeWarrior for Microcontrollers v6.3 1CD

CodeWarrior HC08 v3.0 1CD

CodeWarrior for HC12 v4.6 1CD

CVAVR v1.24.1e 1CD

DebugFactory Builder for AM1 Starter KIT松下单片机 1CD

DeLaMancha.PULS.v1.1.VSTi 1CD

FastAVR v4.0 1CD(以BASIC语言为基础的AVR开发平台)

FranklinC51 1CD(51单片机C语言开发环境)

FuzzyTECH Pro v5.54 1CD(单片机的模糊控制开发软件)

ICCV7 for AVR v7.19 1CD

ImageCraft.ICCAVR.Professional.v6.31a 1CD(编译器)

Keil.products.from.ARM.2015.1.Suite 1DVD

Included:

     - Keil MDK-ARM 5.13
          Development environment for Cortex and ARM devices.
        - Keil MDK-ARM 4.74
          Development environment for Cortex and ARM devices.
        - Keil C251 5.57
          Development tools for all 80251 devices.
        - Keil C166 7.54
          Development tools for C166, XC166, & XC2000 MCUs.
        - Keil C51 9.53
          Development tools for all 8051 devices.
        - Keil Cortex-M Legacy Device Support for MDK-ARM 5.13
        - Keil ARM7, ARM9 & Cortex-R Legacy Device Support for MDK-ARM 5.13

Keil C51 v9.60a 1CD

Keil C166 v7.57 1CD

Keil C251 v5.60 1CD

Keil.Professional.for.C51.v9.0 1CD(适用于8051单片机及衍生系列如Dallas 390/5240/400, Philips 51MX, 及Analog Devices 的MicroConverters)

Keil.Professional.for.C166.v6.11 1CD(适用于XC16x, C16x, 及 ST10系列)

Keil.Professional.for.C251.v4.53a 1CD(适用于251 Microcontroller微处理机系列)

Keil RealView Microcontroller Development Kit 4.70 1CD

Keil MDK-ARM v5.28a 1CD(用来开发基于ARM核的系列微控制器的嵌入式应用程序)

Keil MDK-ARM v5.22 Legacy Support 1CD

Keil RL-ARM v4.13 1CD(镶入式单片机实时控制模拟编程开发工具)

Keil Software –Cx51 编译器用户手册 中文完整版(403页)

Keil uVision2软件中文入门教程

Matcom v4.5 1CD

Mplab.C18.v3.0 1CD(单片机开发软件)

Metrowerks Codewarrior for DSP56800 v5.02 1CD

Metrowerks Codewarrior v6.1 for Coldfire 1CD

PMA Software BlueControl v2.8 SR3 Multilingual 1CD

PCWH v3.227 1CD

GX configuator-DP Ver.500 1CD

GX Developer v 8.0 1CD

Melsoft iQ Works v1.43-ISO 1DVD(三菱IQ WORKS工程软件)

由四个软件包组成:
工程的核心导航软件MELSOFT Navigator
PLC设计开发软件MELSOFT GX Works2
运动CPU设计维护软件MELSOFT MT Works2
触摸屏画面开发工具软件MELSOFT GT Works3

三菱PLC编程软件

Magma Design Automation产品:

Magma v2005.05.12 Linux 1CD

Magma FineSim Pro v2010.08 Linux 1CD

Magma Siliconsmart 2010.10 Linux 1CD

Magma Talus v1.0.92 Linux32_64 1CD

Proteus Labcenter产品:

Proteus Professional 8.11 SP1 Build 30228 Win32 1CD

Proteus 8.5 SP1 with Advanced Simulation 1CD

Proteus v8.5 SP1 Pro build22252 Portable 1CD

Proteus Design Suite 8.5 SP0 1CD

Proteus Pro v8.5 SP0 Build 22067 Portable 1CD

Proteus Design Suite v8.4 SP0 1CD

Proteus 8.3 SP2 with Advanced Simulation 1CD(电路分析实物仿真系统)

Proteus.Pro.v8.0.SP1 1CD

Metrowerks产品:

CodeWarrior Development Studio 2019.1 Win64 & Linux64 2DVD

CodeWarrior Development Studio v9.3 1CD

CodeWarrior Development Studio v9.3 Addon 1CD

WISE Software Solutions, Inc.产品:

Wise.Software.Solution.GerbTool.v16.7.6 1CD(功能强大的的PCB CAM工具和分析软件)

Mician产品:

Mician Microwave Wizard v7.5 Win32_64 1CD(波导设计软件)

Wise.Software.Solution.VisualCAM.v16.7.82 1CD(电子装配制造中的表面贴装技术应用软件)

Tektronix产品:

WaveStar.v2.6 1CD(示波器WaveStar软件(WSTRO)是简便的PC与TDS3000B系列直接的接口软件)

CoWare, Inc.产品:

Coware LisaTek.2005.1.1 for WinALL 1CD(嵌入式处理器设计及软件开发工具)

Coware LisaTek.2005.1.1 for Linux 1CD

CoWare.Processor.Designer(PD).v2010.1 1CD

Coware processor designer 2010.1 Linux 1CD

CoWare.Processor.Designer(PD).2011.Doc 1CD

CoWare.Signal.Processing.Designer(SPD).v2010.1 1CD(信号处理)

CoWare SPW 2010 1CD

CoWare SPW 5.02-XP 1CD(主要进行以下两点改进。第一是与美国The MathWorks, Inc.的技术运算编程

                    及解析环境“MATLAB”互联,第二是全面更新GUI(图形用户界面))

ADI产品:

Visual DSP v3.50-ISO 1CD(美国模拟器件公司(ADI)发布的DSP开发工具)

Visual DSP.PlusPlus.v3.5.for.16.bit.WinALL 1CD

QNX产品:

QNX.Momentics.Development.Suite.Professional.Edition.v6.3-ISO 1CD

QNX Momentics Professional v6.2.1a-ISO 1CD

QNX.Neutrino8.v6.2.1.NC-ISO 1CD

QNX.Realtime.Platform.v6.10-ISO 1CD

ZUKEN产品:

Zuken.CR5000.Board.Designer/System.Designer.v14.0-ISO 2DVD

Zuken.CR5000.Board.Designer/System.Designer.v12.0-ISO 2DVD

Zuken CADSTAR v16.0 1CD(基于PC的PCB设计解决方案)

Zuken.Cadstar.v12.1.SP 1 1CD

Zuken Cadstar 3D v5.0 1CD

Zuken.CadStar.Desktop.Design.v8.0 1CD

Zuken CADStar 中文培训手册

Zuken CR5000 中文教程

Zuken.Hotstage v4.21 1CD

Zuken Hot-Stage v4.03 WinNT 1CD

Zuken E3.series 2019 P3 Build 20.03 Multilingual Win32_64 2DVD

Zuken.E3.series.2017.v18.12.Win32_64 2CD

Zuken E³.series 2017 v18.12 Update.Win32_64 2CD

Zuken E3.series 2016 v17.00 1DVD

Zuken E3.Series 2015 v16.2016.1581.0 Multilanguage Win7_8 1DVD

Zuken.E3.series 2015 v16.03 Update 1CD

E3.Series.2012.Win32-ISO 1DVD(英文版)

E3.Series.2011 12.2011.1000.0.Win64-ISO 1DVD

E3.Series 2011 12.2011.1010.0 Win32_ 64 Update Only 1CD

FORSK产品:

Forsk.Atoll.v3.3.2.10366.Win32_64 2CD(无线网络规划软件)

美国AWR产品:

Cadence AWR Design Environment v15.01.030 Win64 1CD(It is AWR Microwave Office v15)

NI AWR Design Environment 14.0r build 9138 Rev4 (112340) Win64 1CD

NI AWR Design Environment 13.02 Win64 1CD

NI AWR Design Environment Analyst v13.02.8379.1 Win64 1CD

NI AWR Design Environment v12.01 Win64 2CD(原名 AWR Corporation)

NI AWR Design Environment v11.04 Win64 2CD

AWR Microwave Office v14.0.9138.4 Win64 1CD

AWR Microwave Office v11.0 Win64 1CD

AWR.Design.Environment.Vendor.Local.v8.0 1CD

AWR.Design.Environment.v10.04 1CD(射频/微波线路设计环境,整合了Microwave Office、Analog Office

                Visual System Simulator、Signal Ingegrity工具,将主要用于模拟电路

                和射频集成电路(RFIC)的设计、信号仿真、信号一致性检查集成到了一个界面中)

AWR.Design.Environment.v8.0.Documentation 1CD

AWR.Testwave.for.AWRDE.v2.06.Win32 1CD(须先安装AWR Design Environment v7.5)

AWR.Nuhertz.Filter.For.AWRDE.v5.14 1CD

Filter Wiz Pro v4.26 1CD(32位系统,滤波器电路设计软件,很好用!)

Nuhertz Filter Solutions 2019 v16.3.6 1CD

Nuhertz Filter Solutions 2019 v16.2.0 1CD

Nuhertz Filter Solutions 2015 v14.1.0 1CD(滤波器设计软件)

Nuhertz Zmatch v4.0.4 1CD(负荷频率分析软件)

通用有源滤波器uaf42配套设计软件FILTER v4.2

enali产品:

Denali.Memory.Modeler.v2.9.24.WINNT 1CD(存储器模型程序)

Denali.PureSuite.v3.2.069.Linux32 1CD

Denali.PureSuite.v3.2.069.Linux64 1CD

Denali.PureSuite.v3.2.055.Linux.IA64 1CD

Accelerated Designs产品:

Accelerated.Designs.UltraLibrarian.Gold.v5.3.409 1CD(旗舰Librarian(程序库)工具软件)

Blue Pearl Software Inc.产品:

BluePearl Visual Verification Suite(VVE) 2020.1.58268 Win64 1DVD(包括RTL设计分析–插入,时钟域交叉分析和自动时序约束(SDC)生成,可加速ASIC,FPGA和IP验证)

BluePearl Visual Verification Suite(VVE) 2020.1.58268 Linux32_64 1DVD

PCB Matrix产品:

PCBM LP Provisional v2009.20.00 1CD(原理图和PCB设计库的自动化生成EDA工具)

PCBM SymbolWizard Provisional v2.46.03 1CD

PCBM SYMWIZ v2.46.03 1CD

美国IXIA产品:

Ixhariot v6.70 1CD(独特的测试工具)

Scalable Networks Technologies产品:

EXata v5.3 Win64 1CD(无线通信网络仿真软件)

加拿大曼尼托巴HVDC研究中心产品:

ARM DS-5 Development Studio 5.27.0 Win64 1DVD

ARM DS-5 Development Studio 5.27.0 Linux64 1DVD

ARM Developer Suite 1.2 1CD

ARM DS5 with RVCT v5.7-ISO 1CD

ARM.Firmware.Suite.v1.4-ISO 1CD

ARM Memory Compiler 2007 Linux 1CD

ARM.RealView.Developer.Suite(RVDS).v4.1-ISO 1CD(ARM集成开发工具)

ARM.RealView.Developer.Suite(RVDS).v4.0 Linux-ISO 1DVD

ARM Socrates 2019 Linux32_64 1DVD

ARM SOC Designer v7.1 Linux 1CD

ARM Software Development Toolkit v 2.51 1CD

Arm & Mips 源代码

ARM结构和编程(中文书)

2D simpler算法源程序

3D simpler算法源程序

Abacom sPlan 7.0 1CD

Actix.Analyzer.v5.5.349.850.Win64 1CD

Actix.Analyzer.v5.5.338.385.Win32_64 2CD(无线电数据后处理软件)

Atoll v2.8 1CD(无线规划软件)

Apsim 2003 1CD

AutoVue.Electro-Mechanical.Pro.v20.2.1.Win32 1CD(电子与机械工业文档查看、分析软件。内含强大的解决方案,并支持标准的问题跟踪系统)

AutoVue.SolidModel.Pro.v19.0.CHS 1CD

Bluespec.v2009.10B.Linux 1DVD

Bmp2Pcb v2.05 1CD(图形界面的BMP转PCB软件)

Bruker Topspin v3.0 1DVD(核磁共振处理软件)

Cadint PCB v4.03 1CD

Chipsmith v3.8.1 1CD

CircuitMaker 2000 1CD(仿真继电器的软件)

Circuit.Shop.v2.03.WinALL 1CD

Circuit Wizard Education 1.5 1CD

Cliosoft SOS v7.05.p3 Linux64 1CD

Cohesion AMS Designer v6.0 1CD

Cohesion Design Systems v5.11 1CD

Concept.Tools.v5.4 Winows & Linux 2CD

CopperCAM v25032016 1CD

CopperCAM v2010.01.26 1CD(专业PCB雕刻软件)

Control.Station.Loop-Pro.Tuner.v1.9.5879.20182 1CD(调谐器)

Crocodile Technology 3D v607 1CD

CSiEDA v5.7.2 1CD(先进的电路设计软件)

Dolphins.Volts.v6.10 1CD

DVT Eclipse dvt kit 20.1.31.e411 Win64 1DVD

DVT Eclipse 20.1.9.e47.Win64.&.Linux64 2DVD

DVT Eclipse 2020 Win64 & Linux64 2DVD

DVT Eclipse v18.41 Win32_64 2DVD

DVT Eclipse v18.41 Linux32_64 2DVD

DVT Eclipse v18.41 MacOSX64 1DVD

DIY Loudspeaker Pack 6CD(用于预测SPL和T / S参数(包括完整绕组数据的BL(x)和Xmax)的独特仿真工具。

           FINEMotor还是用于设计磁体系统,音圈和蜘蛛网的最佳仿真工具,用于低音扬声器,半球形,电话接收器,耳机等)

included:Loudsoft FineMotor 2.5 1CD

    Loudsoft FineCone 2.1 1CD

    IJData LspCad 5.25 1CD

    IJData LspCad 6.41 1CD

    ARTA 1.71 1CD

    Grenander Loudspeaker Lab 3.13 1CD

IMST.EMPIRE.XCcel.v6.00-ISO 1CD(采用FDTD的全三维高频电磁场仿真软件包)

MagCAD.v2.3.4.WinALL 1CD(简单实惠的磁场空间分布计算软件。这些磁场可以是由永久磁铁或者非线性线圈形成的区域)

E-Tools.E-Studio.Pro.v4.42.029a 1CD

Elanix SystemView v2006 1CD(信号处理系统模拟与分析工具)

Elcut 4.1 1CD

Electra Autorouter v2.7 1CD

EMIT.Maxwell.v5.9.1.20293 1CD(电磁物理学处理分析解决方案)

Empyrean AetherFPD LE 2019.06.SP3 Linux32_64 1DVD

EMSight v1.54 1CD(电磁仿真器,可以分析高频,射频和微波以及天线电路的特性。 EMSight还可以分析具有无限多层介质片,

               无限多个端口,并且介质层之间有互连的过条的任意平面电路)

Edison v4.0 1CD(中、英文破解版,电子实验室)

EndNote X 7.2 Windows 1CD

Engineering.Power.Tools.v2.0.5 1CD

ETS4 version 4.0.6 Professional 1CD

ETS3 Version 3.0e Professional 1CD

EWARM-EV v3.40A 1CD

Wade.Instruments.EZ.Schematics.v2.1.17 1CD(电气图纸设计软件)

FAISYN v2.2 1CD(一个滤波器设计软件)

FEMM v4.2 1CD

Furret.PCB.v2.4 1CD

Forte Cynthesizer v3.60 Linux 1CD

Genesis Frontline v7.1 PCB Designer 1CD

Gemalto Developer Suite v3.4.2 1CD(无线通讯开发软件)

GT Works/GT Designer v3 1.40S-ISO 2CD(触摸屏编程软件)

MELSEC GT-Works3 v1.37P-ISO 1DVD

HDL Turbo Writer 6.0e 1CD(VHDL/verilog专用编辑器)

Hamic.v2.0.WinAL 1CD(电路计算器,可以计算电路的电阻,电压等)

IC-EMC v2.2.4 1CD(电路EMC设计软件)

Integrand EMX with Virtuoso Interface v5.7 And Modelgen v2.2 Linux64 3CD(用于高频,RF和混合信号集成电路的电磁模拟器)

IPC7351 LP Eval v4.20 1CD

IUE soft Minimos v6.1 Win32 1CD

IUE soft MinimosNT v2.1 SUSE32 1CD

IX1D.v3.35 1CD(一款1维直流(DC)电阻,诱发多倍性(IP),磁电的(MT)和电磁的地质探测重建软件)

Konekt.Electra.v6.44 1CD(新一代用于PC板的基于形状的自动布线自动布线软件)

KwickFit v5.2 1CD

LAVENIR v2001 1CD

Lattix.LDM.v5.0.5 1CD

Locverk PBS 射频计算软件 20191134 1CD

MakeDo 2001 v4.22 1CD

MCC 2012.02.00.d Linux64 1CD

Micrium µC/Probe v2.5 Build3891 1CD

Monitouch V-SFT 2009 v5.4 1DVD(触摸屏编辑软件)

MotorSolve v4.11 & MagNet v7.5 & ThermNet v7.5 & ElecNet v7.5 & OptiNet v7.5 5CD

NanDigits Gates On the Fly (GOF) 5.5.4 Linux32_64 2CD(集成电路设计领域做数字电路ECO的EDA软件)

OR1K的IPcore 1CD(包含or1200的代码,ORP soc代码,编译器,以及or1ksim的RTL在线调试器)

Omninet v6.07 for Windows PCB转SCH软件(即PCB转为原理图)

Optenni.Lab.4.3.SP5.Win64 1CD

PC|SCHEMATIC Automation 14.02 1CD

Pro-face GP-Pro EX Ver. v4.09.100 1DVD

Pro-face GP-Pro EX 4.08.100 1DVD

Pro-face GP-Pro EX v4.03 1DVD(画面和逻辑编程软件)

Proton Development Suite v3.5.2.7 1CD

Portunus.v5.2 1CD(一款从电子驱动到旋转电机到大型负载的完全机电系统专业仿真软件)

Ranorex Studio Premium v9.3.4 1CD(GUI自动测试工具)

Radix 4 FFT verilog代码 c代码及相应uvm验证平台 1CD

PSCR高压电磁设计软件 for WinXP 1CD

RCP.Developer.v5.0.0 1CD

RealPic Simulator v1.3.0.0 1CD

Slicedit Pro 2018 Linux64 1CD

SignalLab SIGVIEW 3.2.0 1CD(实时和离线信号分析软件)

Smith Chart v4.0 1CD(史密斯原图工具)

Syscalc v4.0 1CD(微波电路链路增益计算软件)

UC Gui v3.26 1CD

V-ELEQ 电气仿真系统1.10 1CD

V-ELEQ使用说明书 1CD

V-ELEQ视频演示 1CD

ParCAM v8.0c 1CD

ParCAM v7.26d 操作手冊

PC-Lint v9.0 1CD

PCB Investigator 3.41 1CD

PCB Wizard Pro v3.50 1CD

Power v4.5.6 R7 1CD

PowerLogic v1.1 1CD

ProfiCAD 11.0.4 Multilingual 1CD(电气原理图创建CAD工具)

Plexim Plecs Standalone 4.1.2 Win32_64 2CD

Plexim.Plecs.Standalone.v3.7.5.for.Linux32_64 2CD

Plexim.Plecs.Standalone.v3.7.5.for.MacOSX 1CD

Plexim.PLECS.Standalone.&.Blockset.v3.6.1.Win32_64 4CD(独立的时域仿真的电力电子系统软件)

Pioneer.Hill.Software.SpectraPLUS.v5.0.26.0E 1CD(频谱管理软件系统,为各种无线通信的规划和管理提供了专业的工具)

Docklight Scripting v1.9 1CD(通过COM,TCP和UDP串行通信协议的自动化测试工具)

MyCAD MyAnal v6.3 1CD(模拟电路设计工具)

MyCAD MyChip 2005 1CD(Layout设计工具)

MyCAD.MyLogic.Station v5.1 1CD (电路图Editor,逻辑Simulator仿真工具)

MyCAD.MyVHDL.v5.1 1CD (VHDLSimulator 仿真工具)

Specctra ShapeBased Automation Software v15.1-ISO 1CD(基于层对交互/自动布线的功能)

OVPsim v20120614.0 1CD

PSC Design Kit 3.3 Linux 1CD

PCB Router Specctra v16.2 1CD

Pspice v9.2 1CD

Pspice 使用指南(中文)

NucleusUDB.v4.3(强大的、基于GUI的嵌入式应用源码级调试器,具有标准的内部开发结构,适用于Nucleus软件部件支持的大多数处理器)

Number One Systems Easy-PC PCB v16.09.0 Full 1CD

Rimu.PCB.v1.07.WinALL 1CD(行业印刷电路板(PCB)的设计软件)

See Electrical 7 R2 B11 1CD(电气设计软件)

See Electrical V5r1 5.1 Win32_64 1CD

Sidelinesoft NL5 Circuit Simulator 2.2.2 1CD

Slickedit 2012 v17.0 Win32_64 2CD

Slickedit 2012 v17.0 Linux32_64 2CD

Slickedit 2012 v17.0 MacOSX1CD

SourceBoost IDE v7.02 1CD

Spice Vision v2.1 for WinALL 1CD(一个很流行的通用型积体电路模拟程序,可处理非线性直流,非常线暂态等功能)

Spice Vision v2.1 for LINUX 1CD

Spyglss v4.2 Linux32 1CD

Spyglss v4.2 Linux64 1CD

Timegen v3.2 Pro 1CD

TinyCAD v2.80.00.396 1CD

DesignSoft Tina v9.3.50 Industrial 1CD

Tina Pro v9.3.50 1CD

Tina Pro v6.0 中文版

Tina.Industrial.Pro.v8.0.with Manual 1CD(英文版)

TINA.Pro 电子线路模拟仿真软件官方教程

Vivado and ISE Design Suites 2012.2 v14.2 1DVD

Xilinx.AccelDSP.v9.1 1CD

Xilinx DSP Tools v9.2.01.1028 1CD

Xilinx.ChipScope.Pro.v10.1.Windows.32bit 1CD(用于Xilinx FPGA的先进的实时调试和验证工具)

Xilinx.ChipScope.Pro.v9.2i.Windows.64bit 1CD

Xilinx.ChipScope.Pro.v9.2i.Linux.32bit 1CD

Xilinx.ChipScope.Pro.v9.2i.Linux.64bit 1CD

Xilinx EDK v9.2.01i 1CD

Xilinx EDK 9.2i WinALL-ISO 1DVD(嵌入式开发套件(EDK)是用于设计嵌入式可编程系统的全面的解决方案)

Xilinx Foundation 4.1i-ISO 1CD

Xilinx Syetem Generator v8.2.01 1CD

Xilinx System Generaror v3.1 1CD

Xilinx 中文教程

Xilinx.ISE.Design.Suite.v14.6.WinALL-ISO 1DVD

Xilinx.ISE.Design.Suite.v14.6.Linux-ISO 1DVD

Xilinx.ISE.v7.1i.Spartan2.VirtexE.Devices 1CD

Xilinx.ISE.v7.1i.Spartan3E.Virtex3E.Devices 1CD

Xilinx.ISE.v7.1i.Linux 1CD

Xilinx.ISE.v7.1i.Linux.X64 1CD

Xilinx.Embedded.Development.Kit.v6.3i 1CD

Xilinx.Embedded.Development.Kit.v6.3i.Addon 1CD(帮助文件)

Xilinx.Embedded.Development.Kit.and.XPS.Ver7.1.Incl.Sp2.For.Win32.PROPER-ISO 1CD

Xilinx.Embedded.Development.Kit.and.XPS.Ver7.1.For.Linux-ISO 1CD

Xilinx.PlanAhead.Design.Analysis.Tool.v10.1-ISO 1CD(通过简化综合与布局布线间的步骤, 能使用户在设计中实现最高性能并极大的减少设计时间)

Xilinx.PlanAhead.v9.2.7.Linux 1CD

Xilinx.PlanAhead.v9.2.7.Solaris 1CD

Xilinx.TMRTool 9.2i 1CD

Xilinx.Vivado.Design.Suite.2019.2 1DVD(30+ G)

Xilinx.Vivado.Design.Suite.2015.2-ISO 1DVD

Xilinx.Vivado.Design.Suite.2014.4-ISO 1DVD

Xilinx.Vivado.Design.Suite.2014.4.Linux-ISO 1DVD

Cosmic.Software.Suite.v10.2008-ISO 1CD(嵌入式系统开发工具)

COSMIC.68332.Compiler.IDEA.and.ZAP.Sim v2.9p 1CD

COSMIC.ST7.Compiler.IDEA.and.ZAP.Sim.v4.5b 1CD(嵌入式系统开发工具包)

CosMIC STM8 16K C Compiler v4.2.8 1CD

Compilerfor STMicroelectronics STM8 Cosmic CxSTM8 4.2.8 1CD

mikroBasic.for.dsPIC30-33.and.PIC24.v6.0.0.0 1CD

MikroElektronika.MikroBasic.For.PIC.v7.0.0.2 1CD(全能且独立的PIC单片机编译器)

MikroBasic Pro for AVR 2009 v1.5 1CD

MikroC Pro for AVR 2008 v1.35 1CD

MikroC for PIC v7.2.0 1CD

MikroPascal Pro for AVR v2.10 1CD

MikroBasic Pro PIC 2009 v2.15 1CD

MikroC Pro PIC v5.4 1CD

MikroPascal Pro PIC 2009 v2.15 1CD

Oshonsoft.8085.Simulator.IDE.v3.21 1CD

Oshonsoft.AVR.Simulator.IDE.v2.36 1CD

Oshonsoft.Function.Grapher.v1.20 1CD

Oshonsoft.PIC10 Simulator IDE 2.17 1CD

Oshonsoft.PIC10F.Simulator.IDE.v1.40 1CD

Oshonsoft.PIC16 Simulator IDE 1.17 1CD

Oshonsoft.PIC18.Simulator.IDE.v3.17 1CD

Oshonsoft.PIC.Simulator.IDE.v7.17 1CD

Oshonsoft.Z80.Simulator.IDE.v10.21 1CD

AFT Impulse 8 Build 8.0.1100 1CD

DSP.Robotics.FlowStone.Professional.v1.1.2 1CD

Eagleware Genesys 2004.07 Final 1CD(世界领先的射频微波设计软件)

EagleWare Genesys v2003.03 SP3 1CD

EPLAN API 2.7.3.11418 Win64 1CD

Eplan Cabinet v2.0.5.4291 MultiLanguage-ISO 1CD

Eplan Electric P8 2.7.3.11418 Multilanguage Win64 1DVD

Eplan Electric P8 2.4 Multilanguage.Win32_64-ISO 1DVD(电气工程项目设计和管理)

EPLAN Harness proD Studio 2.5.0.40 Win64 1DVD(最新版线束设计软件)

EPLAN P8 EEC One 2.6 1CD

EPLAN Fluid v2.7.3.11418 Win64 1DVD

EPLAN Fluid Hose Configurator 2.7 Win64 1CD

Eplan P8 Fluid 2.6 1DVD

Eplan.P8.Fluid.v2.4.4.8366 Win32_64 1DVD(面向流体的专业设计软件)

Eplan P8 Pro Panel 2.6 Win32_64-ISO 1DVD(高性能的3D安装布局软件)

EPLAN PPE v2.6 Build 10395 Win64 1DVD

Eplan P8 PPE v2.4.4.8366 Win32_64 1DVD

EPLAN.Platform.v2.4.Hotfix.2.Win32_64 2CD

Eplan.Professional.v5.5-ISO 1CD(电子工程软件)

HyperLynx Simulation Software v4.66 1CD (PCB仿真软件)

HyperLynx Simulation Software v5.01 Update 1CD

MetaWare.Arm.v4.5A .Working 1CD

Merco.PCB.Elegance.v2.5 1CD

Metapod.PCB.v2.4 1CD

MikroElektronika.MikroC.for.dsPIC.30-33.and.PIC.24.v4.0.0.0 1CD

MikroElektronika.Compilers.and.Software.Tools.2017.09 21CD

included:

mikroBasic.PRO.for.8051.2017.v3.6.0

mikroBasic.PRO.for.ARM.2017.v5.1.0

mikroBasic.PRO.for.AVR.2017.v7.0.1

mikroBasic.PRO.for.dsPIC.2017.v7.0.1

mikroBasic.PRO.for.ft90x.2017.v2.2.1

mikroBasic.PRO.for.PIC.2017.v7.1.0

mikroBasic.PRO.for.PIC32.2017.v4.0.0

mikroC.PRO.for.8051.2017.v3.6.0

mikroC.PRO.for.ARM.2017.v5.1.0

mikroC.PRO.for.AVR.2017.v7.0.1

mikroC.PRO.for.dsPIC.2017.v7.0.1

mikroC.PRO.for.ft90x.2017.v2.2.1

mikroC.PRO.for.PIC.2017.v7.1.0

mikroC.PRO.for.PIC32.2017.v4.0.0

mikroPascal.PRO.for.8051.2017.v3.6.0

mikroPascal.PRO.for.ARM.2017.v5.1.0

mikroPascal.PRO.for.AVR.2017.v7.0.1

mikroPascal.PRO.for.dsPIC.2017.v7.0.1

mikroPascal.PRO.for.ft90x.2017.v2.2.1

mikroPascal.PRO.for.PIC.2017.v7.1.0

mikroPascal.PRO.for.PIC32.2017.v4.0.0

Modelsim Xilinx Edition II V5.7C 1CD

Nassda.Hsim.v2.0.DateCode.01222003 1CD

NI.Circuit.Design.Suite.Power.Pro.v11.0.1 1CD

NI.Circuit.Design.Suite.Pro.v11.0 1CD(NI电路设计套件 电路图捕捉, SPICE仿真和PCB布局)

PathLoss.v5.0 1CD(为频率在 30 MHz to 100 GHz之间的无线电通讯的通道设计工具)

PeakVHDL Pro v4.21a 1CD(一个VHDL通用仿真器)

PicBasic Pro v2.46 1CD

Picbasic Pro Compiler v2.42 1CD

PicBasic Plus v1.10 1CD

Advanced PCB Design System v2.5 1CD

Impulse CoDeveloper 3.70.d.11 (C-to-FPGA) Windows 1CD

Impulse CoDeveloper 3.70.d.11 (C-to-FPGA) Linux 1CD

Impulse.CoDeveloper.Universal.Pro.v3.60.a.2 1CD(用于开发FPGAs和基于FPGA的高性能电脑系统的一款C语言开发系统)

Impulse.CoDeveloper.Universal.v3.50.b.2.Linux 1CD

Imperas Open Virtual Platforms (OVP) 2011.09.06.3 1CD

LinkCAD v5.7.0 1CD

Pulsonix.Advanced.Electronics.Design.System.v2.0(PCB电路板设计工具)

RSI BOM Explorer v6.7.017 1CD

Symphony.EDA.VHDL.Simili.Sonata.Professional.v3.1.13 1CD

SymphonyEDA.VHDL.Simili.v2.0 1CD

Simplis v4.2 1CD

Sigrity SpeedXP Suite v12.0.2 Win32-ISO 1CD

Sigrity SpeedXP Suite v11.0 Win64-ISO 1CD

Sigrity OptimizePI v2.0.11.10-ISO 1CD

Sigrity SpeedPKG v3.0-ISO 1CD

Sigrity UPD Viewer 1CD

Sigrity XcitePI v5.0 Win32-ISO 1CD

Systat.PeakFit.v4.11.WinAll 1CD(处理信号噪声的软件,可以自动分离和分析信号)

Texas.Instruments.OMAP.v2.ISO 1CD

TimeGen 3.1 Pro 1CD

Timing.Designer.Professional.v5.303.WINNT2K 1CD

Trolltech Qt Commercial v4.4.3 WinALL & Linux & MacOSX 1DVD

MULTSIM v10.1(中文版,电路设计套件 电路图捕捉, SPICE仿真和PCB布局)

Ultiboard 2001 1CD(multsim2001的PCB工具)

ULTImate Technology Ultiboard v5.72-ISO 1CD

VeriTools.Undertow.v9.0.DateCode.20020408 1CD

VIRTINS Multi-Instrument v3.2 1CD(虚拟示波器软件)

WinELSO v6.2-ISO 1CD

Workview Office v7.5 1CD

X-HDL 4.2.1 Win32 1CD

XmanagerEnterprise v4.0.0185 1CD

Zuken E3.series 2017 v18.10 Win32_64 2DVD

Zuken.E3.Series.v2009-ISO 1DVD

Zeland IE3D v15.0 1CD(时域有限差分全三维电磁场仿真软件包)

Zeland IE3D and Fidelity v9.0

Electronics Workbench产品:

Electronics.Workbench.Ultiboard.v9.0.155 1CD(印刷电路板设计工具)

Electronics.Workbench.Ultiroute.for.Ultiboard.v9 1CD(轻松的完成大规模继集成电路,多层PCB板及类似于

                                                  BGA封装模式的多针脚电子元件的设计)

Electronics.Packaging.Designer.v7.2 1CD(设计复杂的电路板,有多种软件命令,可以使用EPD创建组建放置于你的设计中)

Multisim v11.0 1CD(电子电路设计、信号分析)

Multisim v10.1-ISO 1CD(中文汉化版)

Multisim 2001增强专业版汉化文件

Multisim 2001简明教程(中文)

EMSS产品:

Altair HyperWorks FEKO v14.0.410 Win64 & Linux64 2DVD

Altair FEKO v14.0.Win64.&.Linux64 2DVD

EMSS FEKO Altair HWU 7.0.2 Win64 & Linux64 2DVD(基于矩量法的全波通用电磁分析软件)

FEKO v7.0.0 Win32_64 2CD(复杂形状三维物体的电磁场分析软件)

FEKO v6.3 Win64 1CD

FEKO 5.2 中文手册

FEKO 视频教学

Zeland.Product.Suite.v12 1CD(平面和三维电磁场仿真与优化软件包)

SuperSpice产品:

SuperSpice v2.2.147 1CD(一款全自动的SPICE软件 )

Polar Instruments 2011 v11.04 4CD(包括Si8000 2009 v10.01,Si9000 2011 v11_04,Speedstack 2009 v10.01和Coupon Generator 10.01)

Polar Instruments Si9000 PCB Transmission Line Field Solver 1CD

Polar SB200a Professional v6.0 1CD(印刷电路板设计、测试系统)

Polar.SI9000E.Field.Solver.v6.00 1CD(印刷电路板阻抗计算与设计工具)

Polar.Si8000.2009.v10.01.Windows 1CD

Polar Instruments 2011 v11.04

Polar.Instruments.SI8000.v6.1.0.WinALL 1CD(印刷电路板阻抗计算与设计工具)

Polar.Instruments.SI9000.v7.10.WinALL 1CD(新的Si9000传输线场解决方案整合了快速精确的无损失和独立于频率的PCB传输线建模)

Polar Speedstack 2009 v10.01 1CD

QCAD v29.0 Win9xNT 1CD (全面的电路设计软件,它包括电路图以及PCB(印刷电路板)模块,

          电路图模块支持简单层次,复杂层次)

TrepCAD St v3.3.1 1CD

CAM Expert v2.0.4.8 1CD(QCAD的扩展工具。可以自动生成G-Code, HP/GL以及其它格式)

CAMCAD & Translator v4.3.39 1CD

RSI CAMCAD Pro v4.5.1003 1CD(CAD/CAM辅助软件,用来进行数据的预处理以及PCB板的设计)

Ariel.Performance.Analysis.System.v2002.Rev1 1CD

Circuit.Shop.v2.03.WinALL 1CD(图形化CAD电路设计工具)

FpgaExpress v3.5.1 Altera Oem 1CD

Holophase.CirCAD.v4.20e(较简单的电路图设计软件)

Microsim Design Center v5.1 1CD

SB200.StackUp.Builder.v6.2 1CD(用于快速建立电路板层的专业工具)

SB200.StackUp.Viewer.v6.2 1CD

Pulsonix 8.5 Build 5905 1CD(电子电路设计软件)

WinQcad v31.0 Win9x_NT 1CD(一款完善的电子线路设计系统,拥有图式控制平台与PCB(印刷电路板)设计两大模块,二者可单独或合并使用)

抄板软件4.2版 1CD

色环电阻计算软件01 1CD

世界三极管查询系统 V1.5 1CD

ALTERA产品:

Altera Quartus Prime Pro 20.1 Windows 2DVD

Altera Quartus Prime Standard Edition 16.1 Update 2 + MegaCore IP 16.1.2.203 Win64 2DVD

Altera.Quartus.Prime.17.0.Win64 1DVD

Altera Quartus Prime 17.0 Linux 1DVD

Altera Quartus Prime v15.1 With Update2 Win64 & Linux64 2DVD

Altera Quartus.II.v15.0.Win64 1DVD

Altera Quartus II version 15.0 Update 2 Only Win64 & Linux64 2DVD

Altera Quartus II v14.1 Windows & Linux 2DVD

Altera Quartus II v14.1 Update1 Only Win64 1DVD

Altera.QUARTUS.II.v13.1.0.162.Full.Working.Win32_64 1DVD(数字系统设计,是业界唯一提供FPGA和固定功能HardCopy器件统一设计流程的设计工具)

Altera.Quartus.II.Help.v13.1.0.162.Win32_64 1CD

Altera.Quartus.II.AOCL.v13.1.0.162.Win32_64 1CD(Altera版本的Opencl开发包,用C语言开发FPGA)

Altera.QUARTUS.II.DSP.Builder.v13.1.0.162.Win32_64 1CD

Altera.Quartus.II.ModelSim.v13.1.0.162.Win32_64 1DVD

Altera.Quartus.II.SoC.Embedded.Design.Suite(EDS).v13.1.0.162.Win32_64 1DVD(Altera推出的开发SOC的工具(就是集成ARM Cortex-A9的FPGA),

                                       里面集成了全世界最厉害的ARM开发工具DS-5(从ARM公司定制的))

Altera Max Plus II 10.2 1CD

Altera.QUARTUS.II.v13.1.0.162.Full.Working.Linux32_64 1DVD

Altera.Quartus.II.Help.v13.1.0.162.Linux32_64 1CD

Altera.Quartus.II.AOCL.v13.1.0.162.Linux32_64 1CD

Altera.QUARTUS.II.DSPBuilder.v13.1.0.162.Linux32_64 1CD

Altera.Quartus.II.ModelSim.v13.1.0.162.Linux32_64 1DVD

Altera.Quartus.II.SoCEDS.v13.1.0.162.Linux32_64 1DVD

Altera.Quartus.II.Arria.v13.1.0.162.WinALL.&.LinuxALL 1CD(器件库文件)

Altera.Quartus.II.Arriav.v13.1.0.162.WinALL.&.LinuxALL 1DVD(器件库文件)

Altera.Quartus.II.Arriavgz.v13.1.0.162.WinALL.&.LinuxALL 1DVD(器件库文件)

Altera.Quartus.II.Cyclone.v13.1.0.162.WinALL.&.LinuxALL 1CD(器件库文件)

Altera.Quartus.II.Cyclonev.v13.1.0.162.WinALL.&.LinuxALL 1DVD(器件库文件)

Altera.Quartus.II.Max.v13.1.0.162.WinALL.&.LinuxALL 1CD(器件库文件)

Altera.Quartus.II.Stratixiv.v13.1.0.162.WinALL.&.LinuxALL 1CD(器件库文件)

Altera.Quartus.II.Stratixv.v13.1.0.162.WinALL.&.LinuxALL 1DVD(器件库文件)

Altera.QUARTUS.II.Nios.II.Embredded.Suite.v9.0.Windows-ISO(嵌入式开发)

Altera.QUARTUS.II.DSP.Bulider.v9.0-ISO 1CD

Altera.QUARTUS.II.v8.0.Modelsim.v6.1g-ISO 1CD

Altera.QUARTUS.II.Megacore.IP.Library.v7.2.SP3.Full.Working-ISO 1CD

Altera.Quartus.II.v5.0.Linux64-ISO 2CD

Altera.ModelSim.v10.3d 1DVD

Coventor产品:

CoventorWare 2015 v10.000 1CD(MEMS系统设计、制造和模拟软件)

CoventorWare v2012.0 1DVD

CoventorWare v2004 1CD

Coventorware MEMSplus v4.0 2013 10 31 1750 GOLD 1CD

Coventorware MEMS+ v3.1 Win64 1CD

Coventorware MEMS+ 2.1 Win32 1CD

Intellisuite 8.5 3D Builder 1CD

juspertor UG产品:

L-Editor v8.22 for Win32 1CD

LayoutEditor.v2009.10.14 1CD(设计和编辑的MEMS布局/集成电路制造软件)

LayoutEditor.v2009.10.14 Linux 1CD

Visionics产品

Visionics.EDWinXP.Professional.v1.80 1CD(电路设计工具,可以用来进行PCB的布局设计和编辑)

CADSOFT产品:

Autodesk EAGLE Premium 9.6.1 Win64 1CD(设计印刷电路板(PCB)的软件)

Autodesk.EAGLE.v8.3.1.MacOSX 1CD

CadSoft Eagle Professional v7.7.0 1CD

CadSoft Eagle Professional 7.7.0 Portable 1CD

CadSoft.Eagle.Professional.v7.6.0.Multilingual.Win32_64 2CD

CadSoft.Eagle.Professional.v7.5.0.Multilingual.Linux32_64 2CD

CadSoft.Eagle.Professional.v7.5.0.Multilingual.MacOSX32_64 2CD

Accel-EDA v15.0 1CD

AdLabPlus.v3.1 1CD(电气工程软件,高级电机工程实验室。拥有20多个特性工具专为设计网络、传输线、变压器等设计)

Adlab.v2.31.WIN9X_NT_2K 1CD(电气工程软件,拥有20多个特性工具专为设计网络、传输线、变压器等设计)

B2.Spice.AD.Professional.v5.1.8 1CD(多模式模拟的电子自动化设计软件,纯数字模拟,快速精确,拥有功能强大的使用界面)

Comsystems.Integra.EDA.Tools.v4.0.SE.Pro 1CD(简体中文电路软件)

CSmith.v2.7(一个有许多高级功能的电机工程的制图软件,它包括:内含电气元件,S-参数块和和可利用的晶体元件,

        易于修改、布局、载入及保存电路图,输出S-参数块及完整的电路图等等)

DipTrace 4.0 Win32_64 2CD

DipTrace 3.2.0.1 with 3D Library Multilingual Win32_64 2DVD(是一套完整的印刷电路板设计系统)

EAGLE.PCB.Power.Tools.v5.06 1CD

Eagle.PCB.v4.09R2-ISO 1CD

MemsCap.Mems.Pro.v4.0-ISO 1CD(微机电系统软件,包括编辑、设计规则校验、块放置和布线、3维模型生成和3维可视化)

Meta Post v3.3.1(带有ANSYS和METApsot的LS-DYNA的前后处理器)

MAX+PLUS II v10.2 完全版 1CD(英文版 ·专业数字集成电路设计软件最新版)

MAX+PLUS II v10.23 最新升级补丁

MAX+PLUS II 开发工具包

MAX+PLUS II 中文快速入门

MAX Plus II 教程

PSoC.Designer.Incl.C.Compiler.v4.0 1CD(高效能、可现场编程的混合讯号数组,针对消费性、工业、办公室

                                    自动化、电信、以及汽车等应用领域提供可量产的嵌入型控制功能)

干式变压器计算程序v2.3

Unsorted产品:

Modbus OPC Server v2.7 1CD

ModScan 32 v4.A00-04 1CD

ModSim 32 v4.A00-04 1CD

加拿大SES公司产品:

CDEGS.2000.v9.4.3-ISO 1CD(电力系统接地分析软件)

美国PTI公司产品:

PSS/ADEPT v5.16 1CD

PSS E v33.40 1CD(大型电力系统仿真计算软件)

PSS/E v32.03-ISO 1CD

PSS/E v31.0-ISO 1CD

PSS E v31.20 Update Only 1CD

PSS Viper v3.0.4 1CD(电力工程的可视的仿真器)

PSS/E 中文操作手册

PSS/E 入门视频教程

OMICRON产品:

OMICRON IEDScout v4.10 Win32_64 2CD

加拿大马尼托巴产品:

PSCAD v4.5 Win32_64-ISO 1CD(一个快速、灵活和准确的电器设备和电力系统的电磁暂态仿真专业软件)

PSCAD v4.25 Win32 1CD

PSCAD v4.21 Win32_64-ISO 1CD

PSCAD v4.20 用户手册(英文版)

PLS-CADD v13.2 FULL 1CD

PLS-CADD v12.3-ISO 1CD

PLS CADD v9.20 1CD(电力架线设计软件)

PLS.CADD.POLE.SAPS.Tower.v9.20.portable 1CD

ASPEN OneLiner v10.3 1CD

Electrocon International Inc.产品:

Electrocon International CAPE 2010-ISO 1CD(分析和数据管理电力传输网络的保护系统)

Eurostag v4.2 1CD(中长期稳定仿真软件)

CYME International产品:

CYME CYMCAP v7.2 rev2 1CD(电力电缆安装载流容量和温升计算的工具)

Cyme.Cymdist.v4.7.R6 1CD(对平衡或者不平衡的三相、两相、单相的电力系统进行分析的工具软件)

CYME.Cymgrd.v6.3.R7 1CD

Cyme.Cymtcc.v4.5.R8 1CD(电力系统保护装置协调进行分析与研究的工具软件)

Cyme.Psaf.v3.1.R1.11 1CD(整合性电力系统分析软体系列,它的基本架构系独立于模拟模组的

          型态与数量, 这些家族成员 ( 模拟模组 ) 都共用一个整合的电

          网设备资料库。 PSAF 可执行广泛的电力系统分析工作:如,电力

          潮流分析、紧急事故分析、电动机启动分析、短路故障分析、暂态

           稳定度分析、谐波分析)

CYME 7.1 Rev 02 1CD

电力系统潮流计算软件 matpower v5.1 1CD

CGI CYME产品:

AutoTRAX EDA v9.20 1CD(电子线路板布线设计软件,它提供了实施当今复杂的电子PCB设计应必备的所有高级工具)

CCS 2.2 for C6000-ISO 1CD

CCS for PIC 3.227 1CD

CirMaker v6.2C 1CD(继电器设计软件)

Edsa Technical 2000 SP3.5 Rev1a-ISO 1CD(电力系统分析)

ABB PEL software PEL Suite release 20.6 1CD

Active Factory v9.1.000.0216 Multilingual-ISO 1CD

ATP-EMTP v11.4 1CD

ATPDRAW v5.7 for WinALL 1CD(电力系统电磁暂态仿真软件)

DIgSILENT Power Factory 2016 SP3 Win32_64 2CD

DIgSILENT PowerFactory v15.1.7 Win32 1CD

ICS.Triplex.ISaGRAF.v5.13.309 1CD(自动化和控制软件)

Industrial SQL Server v9.0.000.0341 Multilingual-ISO 1CD

InTouch v10.1-ISO 1CD(过程可视化软件)

ViDEC.MelSYS.v4.0.SP1.MultiLanguage-ISO 1CD(信息干扰调节系统)

Magnetics Designer v4.1 Build 252 1CD(变压器设计软件)

MyBPA 1.0 1CD

Neplan v5.5.8 1CD

Neplan v5.55.WinALL 1CD

Netlist.ECO.GOF.v4.0.Linux32_64 2CD

SatHunter.v2.5.0.62 1CD

Scopview 2010b 1CD

SKM.PowerTools .v7.0.2.4 1CD

SKM.PowerTools .v7.0.2.4 Win8 64bit 1CD

Sonnet Suite Pro v15.52-ISO 1CD(三维高频电子设计)

Sonnet & Blink v15.54 Linux32_64 1CD

Sonnet Suite Pro v15.52 Linux 1CD

Super.FinSim.v10.0.03 1CD(高性能仿真器)

Super.Finsim.v10.0.03.Linux 1CD

Super.Finsim.v9.3.44.Linux.64Bit 1CD

Super.FinSim.v10.0.03.Solaris 1CD

Super.Finsim.v6.2.09.Solaris.64Bit 1CD

Spectrum.Micro-Cap.v11.0.2.0.Win32_64 1CD

Spectrum.Micro-Cap.v11.0.1.9.Full.Win32_64 1CD(集成模拟/数字电路的设计编辑、混合以及绘制草图和环境模拟的模拟软件)

SuperNEC v2.9-ISO 1CD(天线分析,基于MATLAB的输入、输出介面)

SynaptiCAD.Product.Suite.v20.24 1CD

SynaptiCAD.Product.Suite.v19.00c.Linux64 1CD

SynaptiCAD.Product.Suite.v17.01g.Linux 1CD

SynaptiCAD.AllProducts.v13.24a.SOLARIS 1CD

SynaptiCAD.v2v 1CD

SuperWORKS v7.0 完全破解版 1CD

SuperWORKS 网络版 R6.0 1CD(陕西利达电力电子有限责任公司以AutoCAD R14/2002为平台二次开发的专门用于电路图设计的软件)

Code Composer Studio(CCS) v6.0.1.00040.Win32 1CD

TI.C5000.Code.Composer.Studio.v2.0-ISO 1CD

TI.Code Composer Studio v5.21-ISO 1DVD(集成开发环境(IDE)白金版)

TI.Code.Composer.Studio.v2.2.for.C6X 1CD

TI.Msp430.KickStart.v3.01 1CD

TI-Nspire.Computer.Link.Software.MultiLanguage-ISO 2CD(芯片开发)

BPA 2006 1CD

DSA PowerTools v4.0-ISO 1CD

EMTPWorks v2.02 1CD

Gaia.v4.2.0.1.MultiLanguage.WinALL 1CD(应用于电力行业的,低压电网计算机辅助设计软件)

GENESIS32 v7.2 1CD(工控软件)

DAQFactory Pro v5.87a Build 1972 1CD(组态软件DAQFactory(数采工厂)是一个完整的系统解决方案,它包容了数据采集 过程控制和数据分析,

                  能从SerialRS232、OPC、DAQ、LabJack USB 设备、Modbus设备中采集数据, 并进行分析处理的软

                  件。用于自动化控制、科学研究、教育等场合)

FactoryTalk View Studio 2019 v11.00.00 Build CPR 9 SR 11 1DVD(用于开发或测试机器级或者监控管理级人机交互界面(HMI)应用项目的组态软件)

Movicon v11.3 1CD(组态软件)

Progea Movicon.NExT v3.4.263 1CD

PCFLO v6.0 1CD(电力系统谐波仿真分析软件)

Power World Simulator v8.0 1CD

ScopeView v1.12 1CD

System Configurator (SyCon) Hilscher v2.9 Schneider Electric Win32-ISO 1CD

Vision.v5.7.3.1.MultiLanguage.WinALL(电力网络高级分析工具,用作输电、配电和工业电网的规划部署、设计和管理)

V-ELEQ 电气仿真软件 1CD

ABB公司的电力系统仿真分析软件SIMPOW 10.1 1CD

三相异步电机设计3.0 破解版 1CD

三相异步电机CAD系统 1CD

变压器设计大师2.0 1CD(破解版)

电力系统分析综合程序PSASP7.0-ISO 1CD

电力系统分析综合程序PSASP7.0 用户手册

混合发电系统模拟软件Hybrid2 v1.3e 1CD

开源增强型FreakZ 1CD

整流变压器功率计算软件 PowerCalc

组态王KingView v6.55 Win64 1CD

组态王6.51中文版-ISO 1CD(无限点破解版)

昆仑通态MCGS全中文标准版060310-ISO 1CD(6.2无限点破解版,包括通用版、网络5用户版)

昆仑通态MCGS初级教程、高级教程

力控教程(中文)

猜你喜欢

转载自blog.csdn.net/kakaiki/article/details/112448890