S7-1200和S7-1500定时器操作

TP:生成脉冲

使用“生成脉冲”(Generate pulse) 指令,可以将输出 Q 置位为预设的一段时间。

当输入 IN 的逻辑运算结果 (RLO) 从“0”变为“1”(信号上升沿)时,启动该指令。指令启动时,预设的时间 PT 即开始计时。无论后续输入信号的状态如何变化,都将输出 Q 置位由 PT 指定的一段时间。PT 持续时间正在计时时,即使检测到新的信号上升沿,输出 Q 的信号状态也不会受到影响。

可以扫描 ET 输出处的当前时间值。该定时器值从 T#0s 开始,在达到持续时间值 PT 后结束。如果 PT 时间用完且输入 IN 的信号状态为“0”,则复位 ET 输出。

每次调用“生成脉冲”指令,都会为其分配一个 IEC 定时器用于存储指令数据。

当“Tag_Start”操作数的信号状态从“0”变为“1”时,PT 参数预设的时间开始计时,且“Tag_Status”操作数将置位为“1”。当前时间值存储在“Tag_ElapsedTime”操作数中。定时器计时结束时,操作数“Tag_Status”的信号状态复位为“0”。

TON:生成接通延时

当输入 IN 的逻辑运算结果 (RLO) 从“0”变为“1”(信号上升沿)时,启动该指令。指令启动时,预设的时间 PT 即开始计时。超出时间 PT 之后,输出 Q 的信号状态将变为“1”。只要启动输入仍为“1”,输出 Q 就保持置位。启动输入的信号状态从“1”变为“0”时,将复位输出 Q。在启动输入检测到新的信号上升沿时,该定时器功能将再次启动。

可以在 ET 输出查询当前的时间值。该定时器值从 T#0s 开始,在达到持续时间值 PT 后结束。只要输入 IN 的信号状态变为“0”,输出 ET 就复位。

每次调用“接通延时”指令,必须将其分配给存储指令数据的 IEC 定时器。

当“Tag_Start”操作数的信号状态从“0”变为“1”时,PT 参数预设的时间开始计时。超过该时间周期后,操作数“Tag_Status”的信号状态将置“1”。只要操作数 Tag_Start 的信号状态为“1”,操作数 Tag_Status 就会保持置位为“1”。当前时间值存储在“Tag_ElapsedTime”操作数中。当操作数 Tag_Start 的信号状态从“1”变为“0”时,将复位操作数 Tag_Status。

TOF:生成关断延时

当输入 IN 的逻辑运算结果 (RLO) 从“0”变为“1”(信号上升沿)时,将置位 Q 输出。当输入 IN 处的信号状态变回“0”时,预设的时间 PT 开始计时。只要 PT 持续时间仍在计时,输出 Q 就保持置位。持续时间 PT 计时结束后,将复位输出 Q。如果输入 IN 的信号状态在持续时间 PT 计时结束之前变为“1”,则复位定时器。输出 Q 的信号状态仍将为“1”。

可以在 ET 输出查询当前的时间值。该定时器值从 T#0s 开始,在达到持续时间值 PT 后结束。当持续时间 PT 计时结束后,在输入 IN 变回“1”之前,输出 ET 会保持被设置为当前值的状态。在持续时间 PT 计时结束之前,如果输入 IN 的信号状态切换为“1”,则将 ET 输出复位为值 T#0s。

每次调用“关断延时”指令,必须将其分配给存储指令数据的 IEC 定时器。

TONR:时间累加器 

可以使用“时间累加器”指令来累加由参数 PT 设定的时间段内的时间值。输入 IN 的信号状态从“0”变为“1”(信号上升沿)时,将执行该指令,同时时间值 PT 开始计时。当 PT 正在计时时,加上在 IN 输入的信号状态为“1”时记录的时间值。累加得到的时间值将写入到输出 ET 中,并可以在此进行查询。持续时间 PT 计时结束后,输出 Q 的信号状态为“1”。即使 IN 参数的信号状态从“1”变为“0”(信号下降沿),Q 参数仍将保持置位为“1”。

无论启动输入的信号状态如何,输入 R 都将复位输出 ET 和 Q。

每次调用“时间累加器”指令,必须为其分配一个用于存储指令数据的 IEC 定时器。

当“Tag_Start”操作数的信号状态从“0”变为“1”时,PT 参数预设的时间开始计时。只要操作数“Tag_Start”的信号状态为“1”,该时间就继续计时。当操作数“Tag_Start”的信号状态从“1”变为“0”时,计时将停止,并记录操作数 Tag_ElapsedTime 中的当前时间值。当操作数“Tag_Start”的信号状态从“0”变为“1”时,将继续从发生信号跃迁“1”到“0”时记录的时间值开始计时。达到 PT 参数中指定的时间值时,“Tag_Status”操作数的信号状态将置位为“1”。当前时间值存储在“Tag_ElapsedTime”操作数中。

猜你喜欢

转载自blog.csdn.net/Airfrozen/article/details/107051359