Verdi Protocol Analyzer Debug 简单使用

文档与源码:

User Guide: UVMDebugUserGuide.pdf  VCApps_Protocol_Analyzer.pdf  in $VERDI_HOME/doc

testbench: 使用SNPS VIP的examples


介绍:

Verdi Protocol Analyzer:Verdi Transaction Debug中提及的一样,显示transaction的“波形”与具体信息。但是需要配合SNPS VIP使用,显示更多协议相关的信息。

准备: 

环境变量: $DESIGNWARE_HOME $VERDI_HOME, $LD_LIBRARY_PATH,$NOVAS_HOME(如需设置,则与$VERDI_HOME一样) 等

TB

1.  使用SNPS VIP自带的example, 需要使用 dw_vip_setup 命令。 (在$DESIGNWARE_HOME/bin下,建议直接加入    $PATH

2.  dw_vip_setup -help (查看常用命令) dw_vip_setup -i home (查看可以使用的VIP)

3.  dw_vip_setup -e amba_svt/tb_ahb_svt_uvm_basic_sys -svtb  (在当前目录下生成example)

Makefile:

      example自带的编译仿真命令没有加上-kdb选项,可以先跑一个case,然后根据log目录下的内容改写一个Makefile,如下:

扫描二维码关注公众号,回复: 11357744 查看本文章

使能Protocol Analyzer:

使能后,仿真结束后会生成*xml的文件,VIP的transaction也会自动dump到*fsdb文件中。

使用

      make verdi 进入 protocoldebug界面:

     具体使用方法大致同:Verdi Transaction Debug

     

  

Protocols Tab:会显示文档相关信息。默认在Verdi界面打开,也可以设置外部Firefox打开。

 

Protocol_Analyzer更多使用见对应VIP文档与UVMDebugUserGuide.pdf Appendix A.

猜你喜欢

转载自blog.csdn.net/Holden_Liu/article/details/106938426