MIPS 寄存器文件设计——Logisim

一、实验目的
了解寄 MIPS 寄存器文件基本概念,进一步熟悉多路选择器、译码器、解复用器等 Logisim 组件的使用,并利用相关组件构建 MIPS 寄存器文件。
二、实验内容
利用 logisim 平台中构建一个简化的 MIPS 寄存器文件,内部包含 4 个 32 位寄存器,其具体引脚与功能描述如下表 ####电路框架 storage.circ

三、电路设计图
在这里插入图片描述
四、运行结果
在这里插入图片描述
五、心得体会
通过这次实验,我更加深入的了解了 MIPS 寄存器文件基本概念,进一步熟悉了多路选择器、译码器、解复用器等 Logisim 组件的使用。对Logisim的使用更加熟练了。
六、logisim编译代码
需要的可私信我。

猜你喜欢

转载自blog.csdn.net/qq_43561302/article/details/106857125