关于Xilinx FPGA/ZYNQ的引脚定义

器件的引脚定义如何获得?

在官网找到Pin-Out文件,网址:https://www.xilinx.com/support/package-pinout-files.html

文件里面给出了引脚编号对应的引脚名称、IO Bank分组、IO种类(MIO,High Rate通用IO,DDR等)。

这些封装对应到Processing System 7 IP中的MIO设定,就可以得到MIO的固定引脚。

另外,ZYNQ7000系列的引脚定义文件在这里(CSV和TXT):https://www.xilinx.com/support/packagefiles/z7packages/z7all.zip

//**********************************************************************************************************************************

//**********************************************************************************************************************************

//**********************************************************************************************************************************

例如,IP核中选择以太网MAC控制器的引脚是MIO[27:16],

则在下表中寻找MIO[16],……,MIO[27]即可,最左边即是引脚编号。(电路设计时注意Bank500和Bank501的IO电压分配)

猜你喜欢

转载自www.cnblogs.com/YangGuangPu/p/12928646.html