Vivado仿真器产生的波形数据库文件 (WDB) 和波配置文件 (WCFG)

描述

Vivado 仿真器 中的实时仿真包含以下内容:
 
  • 波形数据库文件 (WDB),其中包含所有仿真数据。
  • 波形配置文件 (WCFG),其中包含与波配置中的对象相关联的顺序和设置。
  • A waveform database file (WDB), which contains all simulation data.
  • A wave configuration file (WCFG), which contains the order and settings associated with objects in the wave configuration.

这两种类型的文件之间有什么区别?它们之间有什么关系?

解决方案

波配置文件 (.wcfg)
 
波配置文件指 定制波形的列表。

它由以下内容组成:
 
  • 信号和总线的列表
  • 它们的属性,如颜色、名称样式和基数值
  • 其它波对象,如分频器、组和 标记

您不仅可通过添加或删除信号及其它波对象来完全定制波配置,而且还可使用波配置来检查仿真结果。

 WCFG 文件可以波配置形式保存在磁盘上。

波配置可以有名称,也可以无名称。

名称 显示在波 窗口 的标题栏上。

在 Vivado GUI 中启动仿真时,它会创建默认 .wcfg 文件。

在将对象添加到窗口时,仅显示仿真时间内对象的波形。

在您保存 WCFG 文件之前,对波形配置的更改(包括创建波形配置或添加 HDL 对象)不是永久性的。
 
要将波配置保存至 WCFG 文件,请选择 文件 > 将波形配置另存为,然后输入波形配置的名称。

您还可以使用以下 Tcl 命令:
save_wave_config <waveform_name>

波形数据库文件 (.wdb)
 
波形数据库 (WDB) 文件包含 所显示的 HDL 对象的波形活动。

如果您向波窗口中添加对象,则完整设计的设计层级以及所添加对象的跳变会自动保存至 WDB 文件。

您可以打开先前保存的 WDB 文件以显示仿真结果。
 

在仿真会话中,您可以创建和使用多个波配置(分别在其自身的波形窗口中)。

单个 WDB 文件可以有多个 WCFG 文件。

通过选择 WDB 文件来打开静态仿真时,您可以查看 完整设计的 HDL 设计层级。

必须创建新的波形配置或打开现有 WCFG 文件才会显示波形。

注意:如果打开的 WCFG 文件中引用了静态仿真 HDL 设计层级中不存在的 HDL 对象,则 Vivado 仿真器会忽略这些不存在的 HDL 对象,并在加载的波形配置中省略它们。

如欲了解有关波配置和波形数据库的更多详情,敬请参阅 (UG900) Vivado Design Suite 用户指南:逻辑仿真



仿真并保存所有信号到wdb文件的步骤:
1, simulation setting:vivado simulator, 仿真时间设置为10ns
2, run simulation
3,开始仿真时会弹出behavior simulation窗口,因为1中设置的仿真时间很短,所以会很快停下来
4,在tcl console中运行 log_wave -r /
5, run 1ms (这里的时间值是根据实际仿真情况设置的)
6,仿真结束后,可以看到 .sim/sim_1/behave/ 路径下的 _behav.wdb 文件

打开wdb文件并查看波形
1,vivado菜单栏的flow : open static simulation :选择上面6中提到的wdb文件
2,在scope或object栏里右击 add to wave

猜你喜欢

转载自blog.csdn.net/wordwarwordwar/article/details/80460935