1、流水灯-FPGA---个人实验总结---

采用的是黑金公司的AX516 板子 

实验一  永远的流水灯

1、我的理解

    对于流水灯,两种理解方式:

    方式一:这个灯亮-延时-熄灭-下一个灯亮-延时-熄灭  这种在同一个主程序中的操作的思路----------即顺序操作

    方式二:各自的灯有自己的工作时许,各自互不干扰,只是在宏观上看来类似流水灯的样子---------即并行的操作


          

   上两个图 分别是 方式一和方式二



2、并行概念 开始编程

`timescale 1ns / 1ps
module led_test (   //各种接口  有输入 有输出
    clk, // : 50Mhz
    rst_n, // 
    led //  LED ,
);
input clk;  //定义时钟线
input rst_n; //定义复位信号
output [3:0] led; // 定义有三个输出的led 
reg [31:0] timer; //计时信号 
reg [3:0] led;  // 定义变量
always @(posedge clk or negedge rst_n) //


begin
	if (~rst_n) //
		timer <= 0; //
	else if (timer == 32'd199_999_999) // 50MHz 4s


		timer <= 0; // 4 
	else
		timer <= timer + 1'b1; // 1
end
always @(posedge clk or negedge rst_n) //
begin
if (~rst_n) //低电平点亮 
    led <= 4'b1111; //LED  LED 
else if (timer == 32'd49_999_999) // 1 
    led <= 4'b1110; //LED1 
else if (timer == 32'd99_999_999) // 2 
    led <= 4'b1101; //LED2 
else if (timer == 32'd149_999_999) // 3 
    led <= 4'b1011; //LED3 
else if (timer == 32'd199_999_999) // 4 
    led <= 4'b0111; //LED4 
endendmodule

3、对上面程序的理解

    两个always模块并行运行,一个对timer一直执行加法。  50Mhz - 1s    

led0 =1s*49999999/50000000=1s

led1=1s*99999999/50000000=2s

led2=1s*149999999/50000000=3s

led3=1s*199999999/50000000=4s   

 每次时间到了之后,全部都从新刷新led 四个灯的状态。 


猜你喜欢

转载自blog.csdn.net/weixin_42066185/article/details/80847493