FSDB waveform generation command file

fsdbDumplimit - FSDB file size limit

- $ fsdbDumpvars ([<Level>], <scope | Signal> *)
fsdbDumpfile - Specifies the FSDB file name

- $ fsdbDumpfile ( "<FSDB name>")
fsdbDumpvars - Dump specified variable

- fsdbDumpSingle - Dump predetermined signal
fsdbDumpvariable - VHDL variable specified Dump
fsdbSwitchDumpFile - dumping will switch to another file FSDB

- $ fsdbSwitchDumpFile ( "<new new FSDB name>")
fsdbAutoSwitchDumpfile - limit the file size and automatically creates a new FSDB file in the data overload

-- $fsdbAutoSwitchDumpfile(<file size>, “<FSDB name>”,< number of file>)
fsdbDumpflush - Force to Dump Result to FSDB file
fsdbDumpMem - Dump 指定的memory的内容

-- $fsdbDumpMem(<reg name>, [<start addr>, [<size>]])
$fsdbDumpon - 打开 FSDB dumping
$fsdbDumpoff - 关闭 FSDB dumping
                                    

 

Guess you like

Origin www.cnblogs.com/ww415/p/11572016.html