【剑指Offer】给出两个 非空 的链表用来表示两个非负的整数。其中,它们各自的位数是按照 逆序 的方式存储的,并且它们的每个节点只能存储 一位 数字。 如果,我们将这两个数相加起来,则会返回一个新

题目描述
给出两个 非空 的链表用来表示两个非负的整数。其中,它们各自的位数是按照 逆序 的方式存储的,并且它们的每个节点只能存储 一位 数字。

如果,我们将这两个数相加起来,则会返回一个新的链表来表示它们的和。
您可以假设除了数字 0 之外,这两个数都不会以 0 开头。

示例:
输入:(2 -> 4 -> 3) + (5 -> 6 -> 4)
输出:7 -> 0 -> 8
原因:342 + 465 = 807

我竟然和此题杠上了未用递归,可能也写得很垃圾执行时间还不错击败86.79%用户,但是内存消耗垃圾

解题思路

此题我用了好多种思路,前面几种都是测试过,提交各种问题。下面代码思路是先对链表A和链表B,不用分谁长谁短进行相加(A+=B,B+=A),然后出来之后用谁非空来判断谁长(如果链表长度相同则使maxres最长链表是L1).接着判断最长链表(maxres)的val值是否大于零,过程如下。

(可能在A和B链表互加之前没有区分谁长谁短,因此内存消耗极大,但也同时因为没有区分所以没有对链表进行总共两次的遍历,也省去了执行时间)
注:每个节点都是一位数,所以最多进位为1

代码

/**
 * Definition for singly-linked list.
 * struct ListNode {
 *     int val;
 *     ListNode *next;
 *     ListNode(int x) : val(x), next(NULL) {}
 * };
 */
class Solution {
public:
    ListNode* addTwoNumbers(ListNode* l1, ListNode* l2) {
        ListNode* p1=l1;
        ListNode* p2=l2;
        ListNode* maxres=NULL;
        ListNode* tmp=NULL;
        ListNode* res=NULL;
        while(p1!=NULL&&p2!=NULL){   //循环互加,直至一方为空
            int temp;
            temp=p1->val;
            p1->val+=p2->val;
            p2->val+=temp;
            p1=p1->next;
            p2=p2->next;
        }
        if(p1==NULL&&p2!=NULL)//说明l2(p2)长
            maxres=l2;
        else                   //此处是两种情况让其都把l1作为长链表(1:链表l1长;2:链表l1和l2一样长)
            maxres=l1;
        ListNode* result=maxres;
        int fly=0;
        while(maxres!=NULL){//进行检查每个节点的值是否大于10,是否要进位操作。
            maxres->val+=fly;
            if(maxres->val>=10){    //当最后一个节点大于10的时候,fly也等于1;
                fly=1;
                maxres->val=maxres->val%10;
            }
            else{
                fly=0;
            }
            tmp=maxres;
            maxres=maxres->next;
        }
        if(fly==1){        //最后一个节点大于10,因此需要给链表结尾插入节点进位。
            ListNode* node=new ListNode(NULL);
            node->next=NULL;
            node->val=1;
            tmp->next=node;
        }
        
        return result;
    }

};
发布了57 篇原创文章 · 获赞 28 · 访问量 4120

猜你喜欢

转载自blog.csdn.net/weixin_41747893/article/details/104565758