BFT最前线丨浙江大学和蚂蚁集团合作,成立智能视觉实验室;ChatGPT 对亚洲节点大规模封号;谷歌CEO称将推出Bard升级版

文 | BFT机器人

01

浙江大学和蚂蚁集团合作,成立智能视觉实验室

据消息,浙江大学和蚂蚁集团达成合作成立「智能视觉联合实验室」,旨在推进智能视觉的技术创新和产业应用,重点攻坚包括机器视觉、三维重建、视觉内容生成等人工智能及计算机视觉在内的核心智能视觉技术。根据合作协议,联合实验室将围绕真实世界的三维视觉技术进行联合科研。

研究成果短期聚焦在智能三维场景建模、数字人驱动等核心技术领域,中期聚焦在动态环境下的复杂物体和场景数字化,长期聚焦于无约束环境下的大规模场景数字化,实现高真实感的动态数字世界创造。同时加强在高层次人才培养、科研成果转化等方面的合作。

02

ChatGPT 对亚洲节点大规模封号

近日,不少用户表示ChatGPT在大面积封号,2023年4月2 日前三天内注册或使用亚洲节点登录过的尤甚,即使购买过plus版,且目前ChatGPT已停止注册。据统计,已有数百万用户的账号受到了影响。业内人士认为风控最最可能相关的有三个:1.本身账号使用的邮箱权重;2.登录的 IP 或代理服务器的所在地(比如在中国,批量封);3.页面所有 ChatGPT 使用中文(可能不会直接封号,但是会上调风控级别)。

03

意大利对ChatGPT下达禁令,调查OpenAI的隐私保护问题

意大利数据保护机构以涉嫌侵犯隐私为由对 OpenAI 的聊天机器人 ChatGPT 发出暂时禁令,表示将调查 OpenAI 如何处理意大利用户的数据,是否符合通用数据保护条例。监管机构称,OpenAI 大量收集和储存个人数据去训练 ChatGPT 的算法这一做法缺乏法律依据,该公司有没有正确处理数据存疑。ChatGPT 上周还遭遇了数据泄露,暴露了用户的对话和支付信息。此外没有验证用户的年龄也使得未成年人接触到不合适他们年龄的答案。OpenAI 遵守禁令已在意大利下线了ChatGPT。

04

谷歌CEO称将推出Bard升级版

日前,谷歌首席执行官Sundar Pichai宣布Bard将从基于轻量级LaMDA模型升级为更大规模的PaLM模型。其指出:Bard正运行在一个轻量级和高效版本的LaMDA模型上,这是一个专注于提供对话的人工智能语言模型。在某些方面,我觉得我们把一辆改装过的思域汽车放在了与更强大的汽车的比赛中。PaLM模型规模更大,Bard在处理常识推理和编程问题等任务时能力更强,升级版Bard即将有新进展。

05

科大讯飞集团总裁吴晓如:很快会发布大模型技术

据报道,在3月31日举行的沪港创新发展论坛上,科大讯飞集团总裁吴晓如表示,以ChatGPT为代表的技术表明机器在自然语言的处理能力上已实现质的飞跃,应该看到和open AI的差距并迎头追上。科大讯飞已储备了一段时间,很快会发布自己的大模型技术。

06

大疆车载公布全新一代智能驾驶解决方案

在 2023 中国电动汽车百人会论坛上,大疆车载公布了全新一代智能驾驶解决方案。这一方案算力低至 32TOPS,纯视觉配置7V / 9V,通过「强视觉在线实时感知、无高精地图依赖、无激光雷达依赖」实现包括城区记忆行车(32TOPS)/ 城区领航驾驶(80TOPS)在内的 L2 + 智能驾驶功能。

同时,该纯视觉辅助驾驶系统也支持扩展毫米波雷达、超声波雷达、激光雷达、高精度地图等传感器,增强系统的安全冗余。大疆车载表示该方案目前已处于可用状态,正与合作车企积极推进量产。此外已在为更高级别智能驾驶进行准备。

07

墨影科技ITES深圳工业展快速构建智造场景,掀起智造时代新浪潮

3月29日在ITES深圳工业展上,墨影科技携墨影天工移动协作系统、烛微移动协作系统及移动协作机器人,以软硬件结合的形式,快速构建工业制造智能场景,在半导体、精密加工、3C电子、新能源等矩阵式柔性制造产线的上下料场景实现全场之间的协同作业,引行业热议,掀起智造时代新浪潮。

08

比尔•盖茨称无人车会像PC一样具有革命性

近日,比尔盖茨在博客上发表了一篇关于自动驾驶汽车的文章。他预测,自动驾驶汽车技术将在未来十年内达到“临界点”。一旦汽车真正实现自动驾驶,它们将像PC(个人电脑)一样具有革命性。

盖茨称最近他乘坐英国创业公司Wayve开发的自动驾驶汽车的经历给他留下了深刻印象。比尔盖茨认为,只有在自动驾驶技术应用到长途卡车、快递车、出租车和租赁汽车之后,个人车辆才会具备自动驾驶功能。他预测,自动驾驶汽车最终将比普通汽车更便宜。

更多精彩内容请关注公众号:BFT机器人
版权归BFT机器人所有,如需转载请与我们联系。若您对该文章内容有任何疑问,请与我们联系,将及时回应。 

猜你喜欢

转载自blog.csdn.net/Hinyeung_Limited/article/details/130089901