ラッチの問題に関する議論

多くの学生は皆、この知識は非常に理解していない、そして今日我々が探求するつもりを示し、ラッチの問題に関連の質問をします。

ここに画像を挿入説明
図は、コードは図に相当し、他の2つのコードではありません。

ここに画像を挿入説明
言い換えれば、誰の書き込みが存在しない条件ならば、デフォルトでは「変わらない」という意味です。

次は、ハードウェアが実現することにある方法についての話は「変わらず」しましょう。
ここに画像を挿入説明

タイミングロジックコードの左のタイミングは、右に対応するコードは、ハードウェア回路です。一定に保つことです赤い線グラフ、に特別な注意を払ってください「フィードバックを。」ときのセレクタ信号Bが結果に割り当てられたクロックの立ち上がりエッジは、図から分かるように、ときに非立ち上がりエッジで、Bは、このように赤線の間、同じままで変わりません。0である場合、セレクタは、Bの現在の結果を選択し、次いで、クロック信号Bの次の立ち上がりエッジを割り当てます。
目に見えるキーで引用され、Dレジスタにある「変わりません」。

我々はすべて知っているように、ロジックコードDレジスタのない組み合わせが存在しない、それは同じまま、それを達成する方法ですか?これは、ラッチアップに使用されます。

ここに画像を挿入説明ここに画像を挿入説明
図は、ロジックコード、および対応する回路の組み合わせです。信号bの順序が変更されないままでは、1と呼ばれる「ラッチ」デバイスを使用する必要があります。1のとき、bが1に等しくなると、0である場合、Bは変わりません。
条件「他にあれば」MDYは要するに、「ビッグ解釈」のビデオを参照することができ、ラッチ、害について、私たちは、ラッチが悪い事であることを知っている、聞かせて、組み合わせ論理であるラッチを持ってしないようにしてください完了。

よくある質問

質問1:他のニーズはそれを補完する場合は、コードのすべてのですか?
A:ASは先に説明し、順序論理、レジスタD「不変」によって実現することができる、それは順序論理補数を必要としない、唯一の組み合わせ論理のみを必要とします。

質問2:それは、それはラッチアップが生成されません追加する他にあれば、論理の単なる組み合わせですか?
A:必ずしもそうではありません。キーはここではありません最後に特定するために、「コードレベル」から理解されていない、「変わらない」が、「機能レベル」から理解されるべきである「変わらず。」他の書き込みまでが、書き込みが他の聞かせて、書き込みにはないがたとえば、次のコードでは、Bは変わりません。それはまだ統合され、ラッチされるように。
ここに画像を挿入説明

質問3:それは他の組み合わせロジックを書かれていない、それはラッチが生成されますか?
A:必ずしもそうではありません。分析するために機能「変わらない」が含まれるかどうかから、まだあります。以下のコードは、1ビットの信号のみが値0と1と仮定されます。それはすべてのケースをカバーしている状況は変わらないように、この時間は、それがラッチを生成しません、他の例がありません。
ここに画像を挿入説明

Q 4:場合は、それがラッチを生成するかどうか、ではない書き込みデフォルトしていますか?
A:条件は、前の3のように、他の状況の分析を書くことが等価でない場合場合は、書き込みではないデフォルトを行い、キーには「変わらない」機能がないことです。

質問5:機能的な、私は何をすべきか、機能「組み合わせ論理は同じまま、」達成しなければなりませんか?
A:一般的には「このコード」、「組み合わせ論理は変わらない」という意味を言えば、組み合わせロジックが、クロックの前に一定の値を維持する必要性は、以下のコードを書かれると、この要求は正常ですA。
ここに画像を挿入説明

ソリューションは、まだ「変わらない」の機能を達成するためのDフリップフロップに依存しています。唯一の文言常に順次論理、論理クロック文言+のみに組み合わせロジック、次のように。
F

質問6:拡張の5の問題は、私はちょうど維持するためのロジックの組み合わせを実現したい、と1つのクロックサイクル未満。
A:需要はFPGAデザインではありませんので、通常ではありません。

公開された43元の記事 ウォンの賞賛3 ビュー3384

おすすめ

転載: blog.csdn.net/MDYFPGA/article/details/104902424