Реализация кода VHDL метода четного частотного деления

Для деления тактовой частоты 50 МГц на импульс 1 Гц требуется 50 000 000 делений частоты. Поскольку коэффициент частотного деления является четным числом, можно использовать метод частотного деления на четное число.

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity five555 is
 port
 (
  clk:in std_logic;
  clkout:out std_logic
 );
 end;
architecture one of five555 is 
signal clktemp:std_logic;
constant temp:integer:=25000000;
begin
 process(clk)
		variable cnt:integer:=0;
		begin
		if(clk'event and clk='1') then
			 if cnt=temp then
				 clktemp<=not clktemp;
				 cnt:=0;
			  else
				 cnt:=cnt+1;
			 end if;
		 end if;
 end process;
 clkout<=clktemp;
 end;

おすすめ

転載: blog.csdn.net/m0_46808930/article/details/131178152