プログラムVHDLの基本的な構造

(1)LIBRARYステートメントと、PACHAGE一部

      処置:ライブラリー(図書館)は、プリコンパイルされたプログラム(パッケージ)を格納するために使用され、データパッケージアセンブリ、論理演算やコンポーネントを定義します。主に物理的な設計等に一定の宣言、データ型、要素及びサブシステムで使用されています。

     フォーマットを使用します。LIBRARYライブラリ名を、

         。ライブラリ名パッケージ名.ALLを使用します。

たとえば、次のようにLIBRARY IEEE;

               IEEE.STD_LOGIC_1164.ALLを使用します。

     (2)エンティティ定義

      処置:デザイン入力/出力ポートの定義、回路のすなわち外観、すなわち、I / Oのタイプと形式を使用してインターフェイスの数:

     フォーマット:

              ISのENTITYエンティティ名

             ポート(ポート:ポートモードのデータ型。

       ........

                    ポート名:ポート・モード・データ型。

    )

    ENDのエンティティ名。

例:     

ENTITY MUX41AはIS
PORT(:; STD_LOGIC IN A、B、C、D、S0、S1、S2、S3、
Y:OUT STD_LOGIC)。
END ENTITY MUX41A。

(3)アーキテクチャ定義

    役割:実装定義エンティティ。それは具体的に記載回路、回路または何のアクション機能を実現することです。

フォーマットを使用します:

 エンティティ名のアーキテクチャ構造名です

ベギン       

     説明文。

エンド構造名。

例えば:

MUX41Aの構成BHVは、IS
信号s:STD_LOGIC_VECTOR(0とdownto 3)。
BEGIN
<= S3&S2&S1&S0 Sを、
Y <= A WHEN S = "1110" ELSE
B WHEN S = "1101" ELSE
C WHEN Sは= "1011" ELSE
D S = "0111" ELSE
'1'。
END BHV;

おすすめ

転載: www.cnblogs.com/lhkhhk/p/11779719.html