UltraEdit25.20.0.88を使用してVerilog言語を編集および構成する(詳細)

UltraEditのバージョンは25.20.0.88ですが、他のバージョンも適用できます。

1:Verilogの構文強調表示ファイルをダウンロードします。
対応する言語編集をサポートでき、キーワードは異なる色でマークされます。
公式ウェブサイトにアクセスして、何百もの文法ファイルをダウンロードできます。みんなのニーズを満たすことができると思います。
https://www.ultraedit.com/downloads/extras/wordfiles.html
は、以下のリンクからダウンロードすることもできます。設定されています。ステップ2、3、4はスキップできます。https//download.csdn.net/ダウンロード/ qq_33231534 / 12243453

2:UltraEditは20種類の構文しかサポートしていないため、UltraEditを使用してダウンロードしたファイルを開き、最初の行のL20をL14に変更します。

3:折りたたみ関数を追加する
Verilog HDLブロックは通常、開始と終了を開始と終了としてマークしているため、それらの機能はC言語の
括弧と同等です次のコードをverilog2001.uewに追加します。

/Open Fold Strings = "begin""case"
/Close Fold Strings = "end""endcase"


/ C1 "Keywords"の上に置くだけです。
最初と最後を折りたたむことができるだけでなく、ケースとエンドケースの別のペアも折りたたむことができ、同様に
、必要な折りたたみフラグを追加できます。

4:自動インデント機能を追加する
wordfile.txtにも自動インデントを制御する文法があります。いわゆる自動インデントとは、beginと入力してEnterキーを押すと、タブが自動的に次の行に追加され、endと入力すると、タブが自動的に次の行に戻ることを意味します。ユーザーは、異なるインデント単語を追加して、自動インデントを実現できます。といった:

/Indent Strings = "begin""case""fork" "if" "else"
/Unindent Strings = "end""endcase""join" "else"


/ C1 "Keywords"の上に置くだけです。

5:UltraEditファイルを開き、最初の行=(*ブロックコメントオフAlt = *)でテキストを削除します。そうしないと、Verilog構文always @(*)を書き込んだ後のコンテンツがコメント化されます。

6:関数呼び出し関数など、他のカスタム関数を追加することもできます。自分で調べることができます。

7:ダウンロードしたファイルverilog2001.uewをソフトウェアディレクトリのwordfilesの下に配置し、ソフトウェアを再起動して開きます。
Wordfilesディレクトリの検索方法:
     (1)UltraEdit-> Advanced-> Settingsを開きます


         (2)右側のナビゲーションバー->エディター表示->構文の強調表示->ドキュメントの完全なディレクトリ名

 

 

 

 

おすすめ

転載: blog.csdn.net/qq_33231534/article/details/104813325