Formato de salida SV

Tareas útiles del sistema SystemVerilog

Tareas útiles del sistema SystemVerilog
Nombre de la tarea Descripción
$ sscanf (str, formato, argumentos);

$ sscanf escanea la cadena de acuerdo con un formato de plantilla, que es similar a la función printf () en lenguaje C

$ sformat (str, formato, args);

$ sformat es la función inversa de $ sscanf. Complete la cadena en los argumentos de parámetro correspondientes de acuerdo con el formato dado

$ display (formato, argumentos);

$ display es la declaración printf de Verilog, que muestra la cadena formateada en stdout

$ sformatf (formato, argumentos);

La tarea de $ sformatf es similar a la de $ sformat, excepto que devuelve un resultado de cadena. La cadena se utiliza como valor de retorno de $ sformatf en lugar de colocarse en el primer parámetro como $ sformt.

$ sformat ()  actualiza la cadena con el valor que le ha pasado.

1 cuerda a; 
2 $ sformat (a, "Hola mundo");

Entonces, aquí, "Hola mundo" se almacenará en la cadena a. 
Es tan bueno como un = "Hola mundo";

p.ej:  

1 $ sformat (nombre, "esclavos [% 0d]", i);

Formato de salida SV: 

% d y% D se emiten en formato decimal
% b y% B se emiten en formato binario
% o y% O se emiten en formato octal
% hy% H se emiten en formato hexadecimal

% e y% E generan números reales en representación exponencial (tipo real)

% f y% F generan números reales en representación de números decimales (tipo real)

% gy% G generan números reales en representación decimal o exponencial

 

% sy% S se emiten en formato de cadena

% cy% C se emiten en formato ASCII

 

Nombre del nivel de salida% my% M

% vy% V emiten la fuerza de la variable de tipo neto

% ty% T se emiten en el formato de hora actual

Supongo que te gusta

Origin blog.csdn.net/zilan23/article/details/105385574
Recomendado
Clasificación