EDA course design (digital system design)--quartusII 9.0 installation and altera usb-blaster driver identification failure solution

Table of contents

1. Resource download and quartus II 9.0 download

2. Create a test project;

3. Write VHDL programs and simulation files

4, altera usb-blaster driver identification failure solution


1. Resource download and quartus II 9.0 download

Link: https://pan.baidu.com/s/1TXNG2aM4-7KR9Fx93zwgfQ 
Extraction code: s83x

After the extraction is complete, the folder directory after decompression is as shown in the figure below:

The installation program is in the directory: Digital System Design Experiment\Experiment\Quartus Software and Tutorial\Quartus Installation Software, double-click setup.exe to run as an administrator;

After running, operate according to the following picture: click next;

 选择I accept the terms of the license agreement;

Here you can enter whatever you want; 

Select the installation path;

 Select the project folder, you can choose one at will;

select complete; 

click next;

 Wait for the installation to complete;

choose yes; 

 Just choose to cancel;

Copy the license.DAT file under the directory: Digital System Design Experiment to the installation directory: altera1\90;

 

Run the 32bit quartusII on the desktop, and operate as shown below;

Choose the 3rd one;

Click the red box and select the license.DAT file just copied;

 Select license setup in tools;

 Copy the content of the first red box of the NIC to the generated license.DAT file (open with Notepad);

 Replace the xxx in the two red boxes in liscense.DAT with the content just copied and save;

 Then open the liscense setup in the tools to see that the operation has been successful;

2. Create a test project;

 The operation is shown in the picture below;

Select file, new project;

 click next;

The first column in the figure below is the location where the project is saved, the second column is the project name, and the third column is the name of the top-level file entity (the following entities must be the same as the name). Here, create a half-adder project file named h_adder;

 Because there is no project1 folder in the corresponding directory, it prompts to create a folder named project1, select Yes;

click next;

Select the corresponding chip, my school issued EPF10K20TC144-4, so I choose it, and then click next; 

 Click next and finish;

3. Write VHDL programs and simulation files

 Select file, VHDL file;

Copy the following code into the file;

library ieee;
use ieee.std_logic_1164.all;
entity h_adder is
	port(
		A : in std_logic;
		B : in std_logic;
		SO: out std_logic;
		CO: out std_logic);
end entity h_adder;
architecture text of h_adder is
	begin
		SO <= A xor B;
		CO <= A and B;
end architecture text;

Click the triangle in the red box in the figure below to run, and click Save;

 Note that the file name saved in the red box needs to be consistent with the h_adder in the third column when creating the project file;

 If there is a problem with the compilation, such as changing the entity name in VHDL to h_add, the error that the top-level file entity is not defined in the figure below will appear;

 The solution is to change the top-level file entity in the General in the Assignments Device to be consistent with the entity h_add in the VHDL file, or change the entity h_add in the VHDL file to be consistent with the top-level file entity h_adder, both of which are fine:

For example, use the first one; 

 After creating the VHDL file, create a simulation file and select Vector Waveform file;

 After creation, select the file and double-click the blank space in the red box;

Click Node Finder; 

 Follow the steps in the figure, and then click ok;

After the addition is complete, operate as shown below;

 The effect is as shown in the figure below;

 Select the simulator in the process;

 Select functional simulation functional;

 Click generate functional simulator netlist, then click Save;

 Slide down and click start, and click report after completion; 

 The final result is as shown in the figure below. According to the input, it can be seen that there is no problem with the test;

4, altera usb-blaster driver identification failure solution

The driver of altera usb-blaster is in the directory: Digital System Design Experiment\altera-usb-blaster driver for win10\Altera-usb-blaster;

Some computers cannot recognize the altera usb-blaster driver because of the digital signature of the driver, so it is necessary to disable the digital signature verification of the computer to successfully run the altera usb-blaster driver;

The specific operation is shown in the picture below;

Search for Reset this PC in Settings;

Select Advanced Startup and restart the computer;

Select Troubleshoot;

Select advanced options;

 Then restart;

 You will enter the key input interface later, you can ask the computer customer service for related operations, after you get the key, enter the correct key, choose to disable the mandatory signature of the driver, and then restart it;

Guess you like

Origin blog.csdn.net/qq_63306482/article/details/128553945