uvm中为sequence中starting_phase赋值的两种方式

在sequence中可以使用starting_phase来控制验证平台的关闭。
有两种方式为starting_phase赋值。
第一种:手工启动sequence时为starting_phase赋值
例如:seq.start(env.i_agt.sqr);

class my_case0 extends base_test;
   function new(string name = "my_case0", uvm_component parent = null);
      super.new(name,parent);
   endfunction 
   `uvm_component_utils(my_case0)
   extern virtual task main_phase(uvm_phase phase);
endclass
task my_case0::main_phase(uvm_phase phase);
   sequence0 seq0;
   sequence1 seq1;
   seq0 = new("seq0");
   seq0.starting_phase = phase;
   seq1 = new("seq1");
   seq1.starting_phase = phase;
   fork
      seq0.start(env.i_agt.sqr);
      seq1.start(env.i_agt.sqr);
   join
endtask

第二种:将此sequence作为sequencer的某动态运行phase的default_phase时,starting_phase不为null。

function void my_case0::build_phase(uvm_phase phase);
   super.build_phase(phase);
   uvm_config_db#(uvm_object_wrapper)::set(this,                           //
                                           "env.i_agt.sqr.main_phase",     //
                                           "default_sequence",             //
                                           case0_sequence::type_id::get());//
endfunction

但是如果将某sequence作为uvm_do宏的参数,那么此sequence中的starting_phase为null。

class drv0_seq extends uvm_sequence #(my_transaction);
   my_transaction m_trans;
   `uvm_object_utils(drv0_seq)
   function  new(string name= "drv0_seq");
      super.new(name);
   endfunction   
   virtual task body();
   if(starting_phase != null) begin                 //
     starting_phase.raise_objection(this);          //
     `uvm_info("drv0_seq", "raise_objection", UVM_MEDIUM)
   end else begin
    `uvm_info("drv0_seq", "starting_phase is null", UVM_MEDIUM)
   end
   if(starting_phase != null)                  
         starting_phase.drop_objection(this);  
   endtask
endclass

class case0_vseq extends uvm_sequence;
   `uvm_object_utils(case0_vseq)
   `uvm_declare_p_sequencer(my_vsqr) 
   function new(string name = "case0_vseq");
      super.new(name);
   endfunction
   virtual task body();
      my_transaction tr;
      drv0_seq seq0;
      if(starting_phase != null)               //
         starting_phase.raise_objection(this); //
      `uvm_do_on(seq0, p_sequencer.p_sqr0);    //
      #100;
      if(starting_phase != null)               //
         starting_phase.drop_objection(this);  //
   endtask
endclass

运行上述代码,会发现drv0_seq中的starting_phase为null,从而不会对objection进行操作。

Guess you like

Origin blog.csdn.net/Michael177/article/details/121054790