UVM中sequence的两种启动方式

第一种:
当完成一个sequence的定义后,可以使用start任务将其启动:

task my_env::main_phase(uvm_phase phase);
   my_sequence seq;                            //
   phase.raise_objection(this);
   seq = my_sequence::type_id::create("seq");  //或者 seq = new("seq");
   seq.start(i_agt.sqr);                       //
   phase.drop_objection(this);
endtask

第二种:
可以使用default_sequence启动。实际上default_sequence会调用start任务,有两种调用方式;
1)default_sequence启动方式

function void my_case0::build_phase(uvm_phase phase);
   super.build_phase(phase);
   uvm_config_db#(uvm_object_wrapper)::set(this,                           //
                                           "env.i_agt.sqr.main_phase",     //
                                           "default_sequence",             //
                                           case0_sequence::type_id::get());//
endfunction

2)default_sequence启动方式

function void my_case0::build_phase(uvm_phase phase);
   case0_sequence cseq;                                              //
   super.build_phase(phase);
   cseq = new("cseq");                                               //
   uvm_config_db#(uvm_sequence_base)::set(this,                      //
                                         "env.i_agt.sqr.main_phase", //
                                         "default_sequence",         //
                                          cseq);                     //
endfunction

Guess you like

Origin blog.csdn.net/Michael177/article/details/121055246