文本过长时中间部分用省略号表示。

转自: https://blog.csdn.net/baidu_38027860/article/details/81035157 //截取字符串中间用省略号显示 function getSubStr (str){ var subStr1 = str.substr(0,10); var subStr2 = str.substr(str.length-5,5); var subStr = subStr1 + "..." + subStr2 ; return subStr; } var str
分类: 其他 发布时间: 02-21 23:00 阅读次数: 0

第六章:输入系统(3)-框架

接下来的几个小节我们会深入分析输入子系统,首先先来了解一下输入子系统的框架。 假设有一款andriod平板,上面安装了很多应用程序,以及系统功能(关机,开机,增加减少音量),同时存在很多输入设备如按键,触摸屏,外接usb键盘鼠标等。那么出现了一个问题,我们的输入事件应该对那个应用程序,或者我们的输入事件应该是和那个应用程序进行通信,如:我们按下按键之后是启动输入法呢?还是减少音量呢?如下图: 当按下按键是需要减少音量,那么这个消息应该是发送给系统消息,如果是启动微信,那么这个这个消息应该是发送
分类: 数据库 发布时间: 02-21 23:00 阅读次数: 0

性能测试篇-通过shell脚本优化iozone测试硬盘读写性能

在利用iozone进行测试时,会执行一系列命令,下面这两个文件可能会帮到你 因为测试时会测试不同的内存,所以要经常改,这里我写了两个shell程序,简化测试 一个是iozone_Run.sh,一个是 iozone_First.sh 首先是iozone_First.sh #!/bin/sh echo "测试开始" echo "iozone..." SIZE=$1 NUM=2 SIZE1=`expr $SIZE / $NUM` SIZE2=`expr $SIZE \* $NUM` ./io
分类: 其他 发布时间: 02-21 23:00 阅读次数: 0

性能测试篇-通过shell脚本优化iozone测试硬盘读写性能

在利用iozone进行测试时,会执行一系列命令,下面这两个文件可能会帮到你 因为测试时会测试不同的内存,所以要经常改,这里我写了两个shell程序,简化测试 一个是iozone_Run.sh,一个是 iozone_First.sh 首先是iozone_First.sh #!/bin/sh echo "测试开始" echo "iozone..." SIZE=$1 NUM=2 SIZE1=`expr $SIZE / $NUM` SIZE2=`expr $SIZE \* $NUM` ./io
分类: 移动开发 发布时间: 02-21 23:00 阅读次数: 0

LSDA算法(基于大量类别的场景)

LSDA算法(基于大量类别的场景) LSDA算法背景 资源 算法简介 算法详情 算法对比 R-CNN MIL算法 Domain adaptation算法 监督域自适应模型 算法实现 Category Invariant Adaptation Category Specific Adaptation Detection with LSDA 实验 误差分析 结论 LSDA算法背景 资源 论文全称:LSDA: Large Scale Detection through Adaptation 论文链接
分类: 数据库 发布时间: 02-21 23:00 阅读次数: 0

Oracle常用经典sql

---------------查看哪些用户连到了DB上,使用什么Program连接----- SET LINESIZE 100 COLUMN spid FORMAT A10 COLUMN username FORMAT A10 COLUMN program FORMAT A45 SELECT s.sid, --Session identifier s.serial#,–Session serial number. Used to uniquely identify a session’s ob
分类: 其他 发布时间: 02-21 23:00 阅读次数: 0

一个项目从立项到发布的流程

本文主要从一个项目的立项到发布的整个流程,公司的相关角色的主要职责 各个角色说明 产品经理(BA) 接受销售,客户,领导的零散需求,把需求梳理成一个整体可以演示的方案,一般产品经理都用Axure这个工具,设计原型交互 登录页面,由用户名和密码框构成 注册的用户名由字母,数字,长度等限制,这些也是由产品经理设计出来的 所有的这些页面行为都是产品经理用Axure设计出来的 编写需求文档(PRD)。对原型页面的内容进行详细分解,告诉这个产品由多少个页面组成,每个页面由多少个元素(按钮,输入框等)组成
分类: 其他 发布时间: 02-21 22:44 阅读次数: 0

BBS - 表结构

项目流程: 1、搞清楚需求(产品经理) (1)基于用户认证组件和Ajax实现登录验证(图片验证码) (2)基于form组件和Ajax实现注册登录 (3)设计系统首页(文章列表渲染) (4)设计个人站点页面 (5)文章详情页 (6)实现文章点赞功能 (7)实现文章评论;文章的评论、评论的评论 (8)富文本编辑框和基于bs4模块防御xss攻击 2、设计表结构 3、按着每个功能进行开发 4、功能测试 5、项目部署上线   登录注册, 注册用户,过滤验证--->一张用户表就可以。想描述这个人登录了怎么
分类: 其他 发布时间: 02-21 22:44 阅读次数: 0

八大经典算法之插入算法、希尔算法

01 插入排序 原理:每次选择一个元素,并且将这个元素和整个数组中的所有元素进行比较,然后插入到合适的位置。 void insertion_sort(int arr[], int n) { int i,j; for (i = 1; i < n; i++) { int tmp = arr[i]; for (j = i; j > 0 && arr[j - 1] > tmp; j--) { arr[j] = arr[j - 1]
分类: 其他 发布时间: 02-21 22:44 阅读次数: 0

BZOJ 4195【程序自动分析】 (并查集)

题目 程序自动分析 刚学并查集做的第一题,数的范围比较大,离散一下 #include <bits/stdc++.h> #include <unordered_map> using namespace std; typedef pair<int, int> P; const int N = 2e5 + 5; vector<P> v1, v2; unordered_map<int, int> mp; int fa[N], n, t, tot; int get_map(int x) { if
分类: 其他 发布时间: 02-21 22:44 阅读次数: 0

关于嵌入式学习随笔->2《GPIO基础知识》

1、GPIO入门知识 GPIO是什么? GPIO全称general purpose input output通用输入输出端口,GPIO口既可以做输入也可以做输出,这些都是通过配置GPIO的工作模式来实现的。 ·STM32FXXXIGT6:一共有9组IO口:PA~PI(PI只有PI0~PI11);一共有140个IO口:16*8+12=140 STM32的大部分引脚都除了可以当作GPIO口来使用,还可以复用为外设功能引脚,如串口等。 集体引脚复用功能查找对应的芯片数据手册即可。 2、GPIO的8种
分类: 其他 发布时间: 02-21 22:44 阅读次数: 0

HTTP协议基础(未完待续)

HTTP   超文本转移协议(Hypertext Transfer Protocol,HTTP) HTTP消息格式   http请求格式 回车符,换行符: \r\n   http响应格式 http的请求方法(8种)
分类: 其他 发布时间: 02-21 22:44 阅读次数: 0

java 通过扫描器触发controller

若controller的url为: private static final String URL = "http://192.0.0.1:8081/api/v2/mall/goodsList?appver=1.0.4&type="; 扫描器通过定式触发URL,获取相应的参数 @Scheduled(fixedDelay = 60 * 1000) //60秒扫描一次 public void getAllGoodsListInformation() { int type[] = { 1, 2, 3
分类: 其他 发布时间: 02-21 22:44 阅读次数: 0

设计模式 简单工厂模式(Simple Factory); 工厂方法模式(Factory Method); 抽象工厂模式(Abstract Factory); 创建者模式(Builder); 原型模式(Prototype); 单例模式(Singleton)。

本节内容 设计模式介绍 设计模式分类 设计模式6大原则 1.设计模式介绍 设计模式(Design Patterns) ——可复用面向对象软件的基础 设计模式(Design pattern)是一套被反复使用、多数人知晓的、经过分类编目的、代码设计经验的总结。使用设计模式是为了可重用代码、让代码更容易被他人理解、保证代码可靠性。 毫无疑问,设计模式于己于他人于系统都是多赢的,设计模式使代码编制真正工程化,设计模式是软件工程的基石,如同大厦的一块块砖石一样。项目中合理的运用设计模式可以完美的解决很多
分类: 其他 发布时间: 02-21 22:44 阅读次数: 0

每日一程-14.python-打印冰雹序列

Author: Notus([email protected]) Create: 2019-02-21 Update: 2019-02-21 给定一个正整数,打印出对应的冰雹序列 冰雹序列 冰雹序列是由Collatz猜想提出的。 给出下列公式和初始的正整数值,生成的序列以1结束。 公式如下: * 如果数字是偶数,除以2 * 如果数字是奇数,乘以3,再加上1 * 当数等于1时,退出程序 从初始数字开始应用公式,然后在生成的每个数字上反复应用公式,得到整个序列。 环境 Python version:
分类: 其他 发布时间: 02-21 22:44 阅读次数: 0

luogu P3238 [HNOI2014]道路堵塞

传送门 这什么题啊,乱搞就算了,不知道SPFA已经死了吗 不对那个时候好像还没死 暴力就是删掉边后跑 Dijkstra SPFA 然后稍微分析一下,可以发现题目中要求的不经过最短路某条边的路径,一定是先在最短路上走,然后走不是最短路的边,然后走回在最短路上的点走完最短路,因为绕两次肯定不优 所以每次断掉一条边,就从这条边的起点更新最短路,如果走到一个在后面的最短路上的点(如果走到在前面的点,那么到终点会经过断掉的边),就可以丢到堆里,然后每次把堆里不合法的(在前面绕路的)路径删掉,取堆顶就是答
分类: 其他 发布时间: 02-21 22:44 阅读次数: 0

Machine Learning(week 7~11)

Support Vector Machines Large Margin Classification 1. Optimization Objective Support vector machine (SVM): a supervised learning algorithm, sometimes gives cleaner, more powerful ways of learning complex non-linear algorithm than logistic regressio
分类: 其他 发布时间: 02-21 22:44 阅读次数: 0

Python PEP-8编码风格指南中文版

#PEP 8 – Python编码风格指南 PEP: 8 Title: Style Guide for Python Code Author: Guido van Rossum , Barry Warsaw , Nick Coghlan Status: Active Type: Process Created: 05-Jul-2001 Post-History: 05-Jul-2001, 01-Aug-2013 介绍(Introduction) 这篇文档说明了Python主要发行版中标准库代码
分类: 其他 发布时间: 02-21 22:44 阅读次数: 0

Qt自定义组件方法

Qt自定义组件方法   该案例要实现一个如下图组件,右侧进度条会随着SpinBox数值的改变而做出相应变化。功能简单,主要是通过一个简单例子掌握Qt组件的实现方法。     步骤1:UI设计器中,在需要放置自定义组件的位置放入Widget容器widge,并设置号Widget在UI界面的布局;   步骤2:如下如,添加新文件-->选择C++ Class,点击chose按钮,进入下一界面,输出Class name,选择Base class为QWidget,下一步-->完成。     步骤3:在my
分类: 其他 发布时间: 02-21 22:44 阅读次数: 0

10 迭代器与生成器

迭代与递归 迭代器协议:对象必须提供一个next方法 执行该方法要么返回迭代中下一项 要么引起一个stoplteration异常 以终止迭代 可迭代对象: 实现了迭代器协议的对象 协议是一种约定:可迭代对象实现了迭代器协议 Python的内部工具 (如for循环 sum max min函数等等)使用迭代器协议访问对象 for循环式中 调用了不可迭代对象内部的_iter_方法,把它们变成了可迭代 考虑序列型和非序列型 不可用列表下标 只可迭代器协议 啥是生成器:可以理解为一种数据类型 它自动实现
分类: 其他 发布时间: 02-21 22:44 阅读次数: 0