HLSの最適化:レイテンシVSスループット

•待ち時間:すべての出力値を計算するために機能するために必要なクロックサイクルの数。
•開始間隔(II):クロック・サイクル数の関数は、新しい入力受け入れることができる前に
データを。

おすすめ

転載: www.cnblogs.com/wordchao/p/10947486.html