Quartus II 8.0 を使用してグレイ コード シミュレーション実験を行う

目次

序文

作業手順

          1. プロジェクトエンジニアリングを作成する

2. チップピンの編集

 3. シミュレーションファイルを設定する


序文

EDAコースで実験コースを利用する場合は、グレイコードのシミュレーション実験を行う必要があるので、手順を作って失敗を避けましょう。

フレンドリーなリマインダー: クラッカーを使用した後、コードの実行に失敗し、以下に示すエラーが表示された場合は、コンピュータ システムを確認してください。Win11 システムの場合は、クラッカー (license.dat ファイル) を削除し、 30 日間の無料使用許可を直接使用します。

作業手順

      1. プロジェクトエンジニアリングを作成する

          (1) quartus ソフトウェアを開きます。32 ビットを推奨します。64 ビットの場合でも、同じプロセスで可能です。私のシステムはソフトウェアと一致しないため、30 日間使用して新しいプロジェクトを作成します。

         

          (2) 1. ファイル格納ディレクトリ(あらかじめデスクトップにGreyyというフォルダを作成し、所定のフォルダに配置します)

                   2. ファイル名 exp2 (コード内の名前と一致している必要があります。そうでない場合はエラーが報告されます)

                   3.次のステップ

 

       (3) コードファイルをインポートします。事前にコードファイルがあればそのままインポートします。ない場合は、作成完了後に新たに VHDL ファイルを作成してコードを記述することもできます。ここでは直接インポートします。はインポートされていません。次のステップに進んでください。後で説明します。新しいメソッドを作成します。

                インポート後は必ず追加してから次の手順に進んでください。 

       

      (4) チップ タイプ EP2C5Q208c8 を選択し、赤い線に従って選択してから次へ、最後まで次を続けてください。

       (5) コードプログラムを実行する

    正常に実行されました

 

      (6) 3 番目のステップでインポートがない場合は、新しいファイル >> new >> vhdl ファイルを作成し、OK をクリックしてコードを追加します。

     コード

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
--------------------------------------------------------------------
entity exp2 is
  port( K1,K2,K3,K4,K5,K6,K7,K8    :  in   std_logic;   --输入:8位格雷码
        D1,D2,D3,D4,D5,D6,D7,D8    :  out  std_logic    --转换结果
       );      
end exp2;
--------------------------------------------------------------------
architecture behave of exp2 is
  begin
    process(K1,K2,K3,K4,K5,K6,K7,K8)      --格雷码转换
      begin
        D1<=K1;
        D2<=K1 xor K2;   
        D3<=K2 xor K3;
        D4<=K3 xor K4;   
        D5<=K4 xor K5;
        D6<=K5 xor K6;   
        D7<=K6 xor K7;
        D8<=K7 xor K8;
    end process;
    
end behave;

2. チップピンの編集

        1. 上のツールバーで、「割り当て」で開くピンを選択します。

        2. ピンを編集し、以下の場所をクリックして、入力ピンと出力ピンの構成をそれぞれ設定します。位置を設定するだけです。完了後、閉じて自動的に保存できます。インポート機能を使用することをお勧めします。 3 番目のステップ (完了している場合) pin ファイル

   ​​​​​​​​​​​​​​     

      3. インポートされたピン構成情報を使用します。機能は前のステップと同じです。すでに 1 つずつ選択している場合は、このステップを無視してください。

      

.qsf ファイル (ピン構成情報)

 

 4. このようなピン設定成功ページ

 

 3. シミュレーションファイルを設定する

        (1) 新しい VWF シミュレーション ファイルを作成します。 file>>new>>Vector Wavefrom File ファイル、OK

       (2) チップのピン情報をインポートし、下図のように操作します。

 

 

「OK」をクリックするだけで、他を変更する必要はありません。

成功したスクリーンショット

 上記のメッセージが表示されたら、シミュレーション ファイルは正常です。

        (3) 入力波形図の描画

           まず入力端のリフティング位置を選択し、次にリフティングを選択し、さらに描画します

        (4) [実行] をクリックしてエラーを見つけます。エラーは保存されておらず、シミュレーション ツールで使用されていないためです。

 

 

       (3) シミュレーション ファイルを保存しないと、実行時にエラーが報告されるため、Grary フォルダーに保存することをお勧めします。

       (4). 動作時に使用するため、シミュレーションツールの保存場所にあるvmfファイルを選択します。

      加工>>シミュレータツール

 以下は保存する必要はなく、設定後に閉じてください。

   (5) [Run Success] を再度クリックすると、シミュレーションが成功します。

 

すべての操作が終了しました!成功

 フォロー&いいねして迷わないようにしましょう!

フォロー&いいねして迷わないようにしましょう!

フォロー&いいねして迷わないようにしましょう!

おすすめ

転載: blog.csdn.net/weixin_59367964/article/details/128036076