SublimeとVerilogの秘訣

1. SublimeText3の簡単な紹介

1.1充電の問題

Sublimeは名目上は料金を請求しますが、公式には無制限の試用をサポートしています。ポップアップウィンドウで支払いを求められた場合は、それを閉じるだけで無料です。

1.2互換性

Sublimeはクロスプラットフォームをサポートし、Windows、Linux、Mac OS Xおよびその他のオペレーティングシステムをサポートしています。現在の使用では互換性の問題は発生していません。

1.3機能

Sublimeは、美しいユーザーインターフェイスと、コードサムネイル、Pythonプラグイン、コードスニペットなどの強力な機能を備えています。キー割り当て、メニュー、ツールバーをカスタマイズすることもできます。関連する拡張機能は、非常に拡張可能なプラグインによって追加されます。他のより強力なエディターは、強力ではありますが、使いやすさの逸脱はありますが、学ぶ必要があります。Sublimeは、使いやすさと機能性のバランスをとっています。

2. Sublime Text3の使用方法

------------------- Verilogプログラミングワーカーとして、Sublimeを正しい姿勢で開きます。

2.1ソフトウェアのインストール

公式サイトにログインしてダウンロードするだけで、通常のソフトウェアと同様に、exeファイルをダブルクリックしてインストールします。ただし、Sublimeを使用するポイントはプラグインであるため、プラグインを管理するには、プラグイン「Package Control」をインストールする必要があります。

2.1.1パッケージコントロールをインストールする最も簡単な方法

方法1:

Sublime Text3を開き、ショートカットキーctrl +〜を使用してコンソールを起動します。次のコードをコンソールに貼り付けます。

urllib.request、os、hashlibをインポートします。h = '6f4c264a24d933ce70df5dedcf1dcaee' + 'ebe013ee18cced0ef93d5f746d80ef60'; pf = 'Package Control.sublime-package'; ipp = sublime.installed_pa​​ckages_path(); urllib.request.install_opener(urllib.request.build_opener(urllib.request.ProxyHandler())); by = urllib.request.urlopen( ' http://packagecontrol.io/ ' + pf.replace( ''、 '%20'))。read(); dh = hashlib.sha256(by).hexdigest(); print( 'ダウンロードの検証中にエラーが発生しました(%sではなく%sを取得しました)、手動でインストールしてください'%(dh、h))if dh!= h else open(os.path.join(ip​​p、pf)、 'wb' ).write(by)

Package Controlプラグインは自動的にインストールでき、他のプラグインを管理するために使用されます。または、公式Webサイト参照して、他の方法でプラグインをインストールすることもできます。

図1パッケージコントロールのインストール

方法2:

図1に示すように、Sublime Text3を開き、ショートカットキーCtrl + Shift + Pを押して、パッケージを入力し、Install Package Controlを選択してインストールします。

次に、SublimeのメインインターフェイスでショートカットキーCtrl + Shift + Pを押し、「install」と入力し、図2に示すように、ドロップダウンメニューで[Install Package]を選択すると、別の入力ボックスがポップアップ表示され、インストールする必要のあるプラグインの名前を入力します。他のプラグインのインストールを開始できます。

 

図2 [パッケージのインストール]メニューバー

 

図3運転状況表示

[パッケージのインストール]を選択すると、Sublimeソフトウェアの左下隅に図3に示すアニメーションが表示され、公式サーバーに接続されていることが示されます。しばらくお待ちください。2番目の入力ボックスが表示されます。

 

図4プラグインをアンインストールする方法

 

同様に、図4に示すように、SublimeのメインインターフェイスでショートカットキーCtrl + Shift + Pを押して、removeと入力します。[パッケージの削除]を選択した後、2番目の入力ボックスでアンインストールする必要のあるプラグインを選択します。

2.2崇高な基本機能

2.2.1ファイルディレクトリとウィンドウコントロール

 

図5ファイルディレクトリ

 

この機能は非常に簡単です。表示する必要があるプロジェクトのソースコードフォルダーをSublimeウィンドウに直接ドラッグすると、ディレクトリツリー図がソフトウェアの左側に表示されます。これは、対応する* .vファイルを開くのに便利です。 。

 

図6ウィンドウ分割

ウィンドウコントロールは列にコードを表示するもので、他のソフトウェアにも基本的な機能があり、ショートカットキーはALT + SHIFT + 2で、数字は列数を表します。

2.2.2グローバル検索

 

図7グローバル検索

この機能は非常にシンプルです。ショートカットキーCTRL + SHIFT + Fを使用すると、ファイル全体を検索してウィンドウに表示できるCTRL + F検索方法とは異なり、グローバルに検索できます。ダブルクリックすると、ファイル内の対応する場所が表示されます。図7に示すように、左半分はウィンドウに表示された検索結果であり、右半分は対応する特定のファイルの対応する場所です。

2.3推奨プラグインの1つ:Verilog構文の強調表示と自動補完

上記の手順で[パッケージのインストール]を選択した後、サーバーへの接続を待機し、2番目の入力ボックスにVerilogと入力し、Verilogを選択します。もちろん、図8に示すように、システムVerilogを選択することもできます。

 

図8 Verilogプラグイン

 

プラグインがインストールされるまで数秒待ちます。

「Verilog」プラグインでサポートされる機能:

1.構文の強調表示

2.自動補完(自分で補完方法を変更できます)

3.モジュールファイルを開きます。

 

図9ファイルを開く

この関数は、インスタンス化されたファイルを簡単に開くことができます図9の左側に示すように、ファイルディレクトリを開いたら、モジュール名の上にマウスを置くと、ファイルの青色の名前が表示されます。青色のファイル名をクリックして、モジュールコードを直接開きます。

2.3推奨プラグイン2:ConvertToUTF8中国語表示

ファイルを開くとき、特定のエンコード方式で開かれていないため、中国語が文字化けした文字を表示することがよくあります。このプラグインは、この問題を解決するように設計されています。

上記の方法と同様に、ショートカットキーCtrl + Shift + Pを使用してinstallと入力し、Install Packageを選択して、2番目の入力ボックスにConvertToUTF8と入力してインストールします。

このプラグインは、GB2312、GBK、BIG5、EUC-KR、EUC-JPのこれらのコード化フォント、特に中国語で表示するときに使用される最初の2つをサポートできます。

2.4 3つの推奨プラグイン:SublimeLinter-contrib-vcom構文チェック

プラグインの名前はSublimeLinter-contrib-modelsimに変更されました

このソフトウェアは、コードを入力するときに文法の問題とビット幅の一致の問題をチェックできます。基本的な原則は、modelsimで文法チェック機能を使用することです。そのため、エラーチェック機能はmodelsimに似ています。ただし、別のプラグインSublimeLinterに基づく必要があります。

PS:Sublimeには他のVerilog文法チェックプラグインがありますが、それらは使用するのがより複雑で、サードパーティのプラグインをコンパイルする必要があり、エラーのチェック時に問題が発生し、インスタンス化の問題があります。最上位モジュールが他のモジュールをインスタンス化すると、他の文法チェックプラグインがエラーを報告し、インスタンス化されたファイルが見つからないというプロンプトを表示します。これは使用に不便です。現在、このプラグインは使用に問題はありません。

 

図10 SublimeLinterプラグインのインストール

上記の方法と同様に、ショートカットキーCtrl + Shift + Pを使用して、installと入力し、Install Packageを選択し、2番目の入力ボックスにSublimeLinterと入力してから、同じ方法を使用してSublimeLinter-contrib-vcomをインストールします。

「Sublimelinter」プラグインでサポートされる機能:

1. Verilog構文チェック。ファイルを書き換えた後、CTRL + Sでファイルを保存した後、構文エラーがある場合、ソフトウェアは自動的にエラーを報告します。

2.ビット幅の一致エラーCTRL + Sで保存した後、1'h23の同様の問題がある場合、ソフトウェアは自動的に警告を表示します。

2.5推奨プラグイン4:Sublimerge 3ファイル比較

 

図11 Sublimerge 3プラグインのインストール

同様に、ショートカットキーCtrl + Shift + Pを使用して、「install」と入力し、[Install Package]を選択して、2番目の入力ボックスにSublimerge 3と入力し、同じ方法でSublimerge 3をインストールします。軽量の比較にはこのプラグインを使用することをお勧めします。多数の比較にはBeyondCompareを使用することをお勧めします。

「Sublimerge 3」プラグインサポート機能:コード比較(BeyondCompareに類似)

 

図12ファイル間の比較方法

ファイルディレクトリで、Ctrlキーを押しながら2つのファイルを同時に選択し、右クリックして[Sublimerge]> [Compare Selected Files]を選択すると、図12に示すように比較できます。

 

図13ファイル内の比較

図13に示すように、ファイルを右クリックして、比較し、対応するメニューを選択して、対応する機能を実行することもできます。

2.6 5つの推奨プラグイン:Verilogガジェットの実用的な小さなプラグイン

 

図14 VerilogGadgetプラグインのインストール

ショートカットキーCtrl + Shift + Pを使用して、installと入力し、[Install Package]を選択して、使用する2番目の入力ボックスにVerilog Gadgetと入力します。

「VerilogGadget」プラグインでサポートされる機能:

1. TestBenchファイルの自動生成:TestBenchファイルを生成する必要があるモジュールでのみ使用し、マウスの右ボタンをクリックして、[Generate Testbench]を選択し、直接Testbenchファイルを生成します。もちろん、生成されたテンプレートは自分で変更できます。

2.ファイルヘッダーを挿入します。ファイルを右クリックし、[ヘッダーの挿入]を選択してファイルヘッダーを挿入します。ファイルヘッダーのtxtファイルを事前に書き込む必要があります。ここで、現在の日付には{DATE}が使用され、今年には{YEAR}が使用され、現在の時刻には{TIME}が使用され、現在のファイル名には{FILE}が使用され、Sublime設定で設定されます。ファイルヘッダーのtxtファイルのパスで実行できます。

3.モジュールを自動的にインスタンス化します。モジュールを右クリックして[インスタンス化]を選択し、上部のファイルでショートカットキーCTRL + Vを使用してモジュールをインスタンス化します。

4.自動整列:整列するコードを選択し、ショートカットキーctrl + shift + xを使用して整列します。

コードの自動繰り返し:モジュールを右クリックして[番号付きのコードを繰り返し]を選択します。これにより、自動繰り返しシーケンスの生成を実現できます。これは、ケースステートメントを記述するときに非常に役立ちます具体的な使用方法については、公式の説明を参照してください

おすすめ

転載: blog.csdn.net/qq_33231534/article/details/107428702