Utilice Quartus II 8.0 para realizar experimentos de simulación de código Gray

Tabla de contenido

prefacio

Procedimientos de operación

          1. Crear ingeniería de proyecto.

2. Editar pines de chip

 3. Configurar archivos de simulación


prefacio

Cuando se utilizan cursos experimentales en cursos EDA, es necesario realizar experimentos de simulación de código Gray, así que realice un proceso y evite algunos errores.

Recordatorio amistoso : si después de usar el cracker, descubre que el código no se ejecuta y aparece el error que se muestra a continuación, verifique su sistema informático. Si es un sistema win11, se recomienda eliminar el cracker (archivo licencia.dat) y utilizar directamente los derechos de uso gratuito de 30 días.

Procedimientos de operación

      1. Crear ingeniería de proyecto.

          (1) Abra el software Quartus, se recomienda 32 bits. Si es de 64 bits, el proceso puede ser el mismo. Dado que mi sistema no es consistente con el software, lo usaré durante 30 días y crearé un nuevo proyecto.

         

          (2) 1. Directorio de almacenamiento de archivos (cree una carpeta Greyy en el escritorio con anticipación y colóquela en la carpeta designada)

                   2. Nombre del archivo, exp2 ( debe ser coherente con el nombre en el código; de lo contrario, se informará un error )

                   3.Siguiente paso

 

       (3) Importe el archivo de código. Si hay uno por adelantado, simplemente impórtelo directamente. De lo contrario, también puede crear un nuevo archivo VHDL después de completar la creación y escribir el código. Aquí lo importaré directamente. Si no se importa, solo ve al siguiente paso, lo daré más tarde, crear nuevo método

                Después de importarlo, asegúrese de agregarlo y luego continúe con el siguiente paso. 

       

      (4) Seleccione el tipo de chip, EP2C5Q208c8, simplemente seleccione según la línea roja, luego siguiente; mantenga siguiente hasta el final

       (5) Ejecute el programa de código

    Ejecutar exitosamente

 

      (6) Si no hay importación en el tercer paso, puede crear un nuevo archivo >> nuevo >> archivo vhdl, hacer clic en Aceptar y agregar el código.

     código

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
--------------------------------------------------------------------
entity exp2 is
  port( K1,K2,K3,K4,K5,K6,K7,K8    :  in   std_logic;   --输入:8位格雷码
        D1,D2,D3,D4,D5,D6,D7,D8    :  out  std_logic    --转换结果
       );      
end exp2;
--------------------------------------------------------------------
architecture behave of exp2 is
  begin
    process(K1,K2,K3,K4,K5,K6,K7,K8)      --格雷码转换
      begin
        D1<=K1;
        D2<=K1 xor K2;   
        D3<=K2 xor K3;
        D4<=K3 xor K4;   
        D5<=K4 xor K5;
        D6<=K5 xor K6;   
        D7<=K6 xor K7;
        D8<=K7 xor K8;
    end process;
    
end behave;

2. Editar pines de chip

        1. En la barra de herramientas de arriba, seleccione los pines en Tarea para abrir;

        2. Edite los pines, haga clic en la ubicación a continuación y establezca la configuración para los pines de entrada y salida respectivamente. Solo configure la ubicación. Una vez completado, puede cerrarlo y guardarlo automáticamente. Se recomienda utilizar la función de importación en el tercer paso, siempre que lo hayas realizado.pin file

   ​​​​​​​​​​​​​​     

      3. Utilice la información de configuración de pines importada. La función es la misma que el paso anterior. Si ya ha seleccionado uno por uno, ignore este paso.

      

El archivo .qsf es la información de configuración del pin.

 

 4. La página de éxito de la configuración del pin, para que puedas

 

 3. Configurar archivos de simulación

        (1) Cree un nuevo archivo de simulación VWF, archivo >> nuevo >> archivo Vector Wavefrom File, OK

       (2) Importe la información del pin del chip y opere de acuerdo con la siguiente imagen

 

 

Simplemente haga clic en Aceptar, no es necesario cambiar otros

Captura de pantalla exitosa

 Cuando aparece lo anterior, el archivo de simulación está bien;

        (3) Dibujar la forma de onda de entrada

           Primero seleccione la posición de elevación del extremo de entrada, luego seleccione elevación y dibuje más

        (4) Haga clic en Ejecutar y encuentre un error porque no se guarda ni se utiliza en la herramienta de simulación.

 

 

       (3) Guarde el archivo de simulación, de lo contrario se informará un error durante la ejecución, se recomienda guardarlo en la carpeta Grary.

       (4) Seleccione el archivo vmf en la ubicación para guardar en la herramienta de simulación, para que se utilice durante la operación.

      procesamiento>>herramienta simuladora

 No es necesario guardar lo siguiente, simplemente cierre la configuración.

   (5) Haga clic nuevamente para ejecutar correctamente y la simulación será exitosa.

 

¡Todas las operaciones han terminado! ! ! éxito

 ¡Síguenos y dale me gusta para no perderte! ! !

¡Síguenos y dale me gusta para no perderte! ! !

¡Síguenos y dale me gusta para no perderte! ! !

Supongo que te gusta

Origin blog.csdn.net/weixin_59367964/article/details/128036076
Recomendado
Clasificación