Escriba un código de sumador completo, verilog

Puedo escribir un código Verilog simple para un sumador completo de dos bits para usted: módulo full_adder (entrada a, b, cin, suma de salida, cout); asignar suma = a ^ b ^ cin; asignar cout = (a & b) | (cin & (a ^ b)); módulo final

Supongo que te gusta

Origin blog.csdn.net/weixin_42592399/article/details/129617518
Recomendado
Clasificación