Comprensión de uvm_pkg

Comprensión de uvm_pkg

El artículo se reproduce en: https://www.cnblogs.com/dpc525/p/7927066.html

Si no trabajo horas extras todo el día, ¡me siento incómodo por todas partes!
"¡Citas de un colega de la empresa!"

El concepto de paquete se introduce en SV, lo que permite que varios módulos compartan tipos de datos y utiliza resolutores de alcance para hacer referencia a paquetes (: :) . Desde la vista general de los paquetes de UVM, estos incluyen principalmente:

  1. uvm_macros contiene todas las definiciones macro de UVM;
  2. El catálogo de dpi define la interfaz de idioma externo;
  3. La clase básica en el paquete base UVM;
  4. dap es la Política de acceso a datos;
  5. tlm1 contiene la definición de clase del modelo 1 de la capa Tranaction;
  6. comps define todos los componentes utilizados en UVM;
  7. El directorio seq es la realización del mecanismo de secuencia;
  8. TLM2 es la realización del mecanismo TLM2;
  9. reg contiene la realización del modelo de registro;
`ifndef UVM_PKG_SV
`define UVM_PKG_SV

`include "uvm_macros.svh"

package uvm_pkg;

  `include "dpi/uvm_dpi.svh"
  `include "base/uvm_base.svh"
  `include "dap/uvm_dap.svh"
  `include "tlm1/uvm_tlm.svh"
  `include "comps/uvm_comps.svh"
  `include "seq/uvm_seq.svh"
  `include "tlm2/uvm_tlm2.svh"
  `include "reg/uvm_reg_model.svh"

endpackage

`endif

Reimpreso en el jardín del blog: https://www.cnblogs.com/dpc525/p/7927066.html

Supongo que te gusta

Origin blog.csdn.net/weixin_46259642/article/details/112652394
Recomendado
Clasificación