Los secretos no contados de Sublime y Verilog

1. Una breve introducción a SublimeText3

1.1 Problemas de carga

Aunque Sublime cobra nominalmente, oficialmente admite pruebas ilimitadas. Si la ventana emergente le pide que pague, simplemente ciérrela, para que sea gratis.

1.2 compatibilidad

Sublime es compatible con multiplataforma y es compatible con Windows, Linux, Mac OS X y otros sistemas operativos. No se han encontrado problemas de compatibilidad en el uso actual.

1.3 Funcionalidad

Sublime tiene una hermosa interfaz de usuario y potentes funciones, como miniaturas de código, complementos de Python, fragmentos de código, etc. También puede personalizar las combinaciones de teclas, los menús y las barras de herramientas. Las funciones mejoradas relevantes se agregan por medio de complementos, que son muy extensibles. Otros editores más poderosos, aunque poderosos, pero la desviación de la facilidad de uso, necesitan aprender. Sublime encuentra un equilibrio entre facilidad de uso y funcionalidad.

2. Cómo utilizar Sublime Text3

------------------- Como trabajador de programación de Verilog, abra Sublime en la postura correcta

2.1 Instalación de software

Simplemente inicie sesión en el sitio web oficial y descárguelo. Al igual que el software normal, haga doble clic en el archivo exe para instalarlo. Pero el objetivo de utilizar Sublime son los complementos, por lo que debe instalar un complemento "Control de paquetes" para administrarlos.

2.1.1 La forma más sencilla de instalar Package Control

método uno:

Abre Sublime Text3 y usa la tecla de atajo ctrl + ~ para abrir la consola. Pegue el siguiente código en la consola:

import urllib.request, os, hashlib; h = '6f4c264a24d933ce70df5dedcf1dcaee' + 'ebe013ee18cced0ef93d5f746d80ef60'; pf = 'Paquete Control.sublime-package'; ipp = sublime.ruta_paquetes_instalados (); urllib.request.install_opener (urllib.request.build_opener (urllib.request.ProxyHandler ())); por = urllib.request.urlopen (' http://packagecontrol.io/ ' + pf.replace ('', '% 20')). read (); dh = hashlib.sha256 (por) .hexdigest (); print ('Error al validar la descarga (se obtuvo% s en lugar de% s), intente la instalación manual'% (dh, h)) if dh! = h else open (os.path.join (ipp, pf), 'wb' ) .escribir (por)

El complemento de control de paquetes se puede instalar automáticamente, que se utiliza para administrar otros complementos. O puede consultar el sitio web oficial para instalar el complemento de otras formas.

Figura 1 Instalar el control de paquetes

Método dos:

Abra Sublime Text3, presione la tecla de método abreviado Ctrl + Shift + P, ingrese el paquete y seleccione Instalar Control de Paquete para instalar, como se muestra en la Figura 1.

Luego, presione la tecla de acceso directo Ctrl + Shift + P en la interfaz principal de Sublime, ingrese instalar, seleccione Instalar paquete en el menú desplegable, como se muestra en la Figura 2, y luego aparecerá otro cuadro de entrada, e ingrese el nombre del complemento que necesita ser instalado. Puede comenzar a instalar otros complementos.

 

Figura 2 Barra de menú Instalar paquete

 

Figura 3 Indicación del estado de funcionamiento

Después de seleccionar Instalar paquete, habrá una animación en ejecución que se muestra en la Figura 3 en la esquina inferior izquierda del software Sublime, indicando que está conectado al servidor oficial. Espere un momento y aparecerá un segundo cuadro de entrada.

 

Figura 4 Cómo desinstalar el complemento

 

De la misma manera, presione la tecla de atajo Ctrl + Shift + P en la interfaz principal de Sublime, e ingrese eliminar, como se muestra en la Figura 4. Después de seleccionar Eliminar paquete, seleccione el complemento que necesita desinstalar en el segundo cuadro de entrada.

2.2 Funciones básicas sublimes

2.2.1 Directorio de archivos y control de ventanas

 

Figura 5 Directorio de archivos

 

Esta función es muy simple, simplemente arrastre la carpeta del código fuente en el proyecto que necesita ver directamente a la ventana Sublime, y el diagrama de árbol de directorios se mostrará en el lado izquierdo del software, lo cual es conveniente para abrir el archivo * .v correspondiente. .

 

Figura 6 División de ventana

El control de ventana es mostrar códigos en columnas, y otro software también tiene funciones básicas. Su tecla de atajo es ALT + SHIFT + 2, y el número representa el número de columnas.

2.2.2 Búsqueda global

 

Figura 7 Búsqueda global

La función es muy simple. Puede buscar globalmente usando la tecla de método abreviado CTRL + MAYÚS + F, que es diferente del método de búsqueda CTRL + F, que puede buscar entre archivos y mostrarlo en una ventana. Haga doble clic en él para mostrar el lugar correspondiente en el archivo. Como se muestra en la Figura 7, la mitad izquierda es el resultado de la búsqueda, que se muestra en una ventana, y la mitad derecha es el lugar correspondiente del archivo específico correspondiente.

2.3 Uno de los complementos recomendados: resaltado de sintaxis Verilog y finalización automática

Después de seleccionar Instalar paquete en los pasos anteriores, espere a conectarse al servidor, ingrese Verilog en el segundo cuadro de entrada, seleccione Verilog, por supuesto, también puede seleccionar System Verilog, como se muestra en la Figura 8.

 

Figura 8 Complemento de Verilog

 

Espere unos segundos a que se instale el complemento.

Funciones compatibles con el complemento "Verilog":

1. Resaltado de sintaxis

2. Finalización automática (puede modificar el método de finalización usted mismo)

3. Abra el archivo del módulo:

 

Figura 9 apertura de archivo

Esta función puede abrir fácilmente archivos instanciados . Después de abrir el directorio de archivos, como se muestra en el lado izquierdo de la Figura 9, coloque el mouse sobre el nombre del módulo, se mostrará el nombre azul del archivo y haga clic en el nombre del archivo azul para abrir directamente el código del módulo.

2.3 Complemento recomendado dos: Pantalla china ConvertToUTF8

Al abrir un archivo, muchas veces el chino mostrará caracteres confusos, porque no se abre con un método de codificación específico. Este complemento está diseñado para resolver este problema.

Al igual que con el método anterior, use la tecla de método abreviado Ctrl + Shift + P, ingrese instalar, seleccione Instalar paquete e ingrese ConvertToUTF8 en el segundo cuadro de entrada para instalar.

Este complemento puede admitir GB2312, GBK, BIG5, EUC-KR, EUC-JP estas fuentes codificadas, especialmente las dos primeras, que se utilizarán cuando se muestren en chino.

2.4 Tres de los complementos recomendados: verificación de sintaxis de SublimeLinter-contrib-vcom

El complemento ha sido rebautizado como SublimeLinter-contrib-modelsim

Este software puede verificar problemas gramaticales y problemas de coincidencia de ancho de bits al ingresar códigos. El principio básico es utilizar la función de verificación gramatical en modelsim, por lo que la función de verificación de errores es similar a la de modelsim. Pero debe basarse en otro complemento-SublimeLinter.

PD: Hay otros complementos de verificación gramatical de Verilog en Sublime, pero son más complicados de usar, necesitan compilar complementos de terceros y hay un problema al verificar errores, problemas de instanciación. Cuando el módulo de nivel superior crea una instancia de otros módulos, otros complementos de verificación gramatical informarán un error, lo que indica que no se puede encontrar el archivo instanciado, lo cual es inconveniente de usar.Actualmente, este complemento no tiene problemas para usarlo.

 

Figura 10 Instalación del complemento SublimeLinter

Al igual que con el método anterior, use la tecla de acceso directo Ctrl + Shift + P, ingrese instalar, seleccione Instalar paquete, ingrese SublimeLinter en el segundo cuadro de entrada, y luego use el mismo método para instalar SublimeLinter-contrib-vcom, puede usarlo.

Funciones compatibles con el complemento "Sublimelinter":

1. Verifique la sintaxis de Verilog, después de reescribir el archivo, después de guardar el archivo con CTRL + S, si hay un error de sintaxis, el software reportará automáticamente un error.

2. Error de coincidencia de ancho de bit. Después de guardar con CTRL + S, si hay un problema similar de 1'h23, el software automáticamente mostrará una ADVERTENCIA.

2.5 Complemento recomendado cuatro: Comparación de archivos Sublimerge 3

 

Figura 11 Instalación del complemento Sublimerge 3

De la misma manera, use la tecla de atajo Ctrl + Shift + P, ingrese instalar, seleccione Instalar paquete, ingrese Sublimerge 3 en el segundo cuadro de entrada, y luego use el mismo método para instalar Sublimerge 3, puede usarlo. Se recomienda utilizar este complemento para una comparación ligera, y es mejor utilizar BeyondCompare para una gran cantidad de comparaciones.

Función de soporte del complemento "Sublimerge 3": comparación de código (similar a BeyondCompare)

 

Figura 12 Método de comparación entre archivos

En el directorio de archivos, mantenga presionada la tecla CTRL y seleccione 2 archivos al mismo tiempo, haga clic derecho y seleccione Sublimerge-> Comparar archivos seleccionados, puede comparar, como se muestra en la Figura 12.

 

Figura 13 Comparación dentro del archivo

Haga clic derecho en el archivo, también puede comparar, seleccione el menú correspondiente para lograr la función correspondiente, como se muestra en la Figura 13.

2.6 Cinco de los complementos recomendados: Pequeños complementos prácticos de Verilog Gadget

 

Figura 14 Instalación del complemento VerilogGadget

Use la tecla de método abreviado Ctrl + Shift + P, ingrese instalar, seleccione Instalar paquete e ingrese Verilog Gadget en el segundo cuadro de entrada para usar.

Funciones compatibles con el complemento "VerilogGadget":

1. Genere automáticamente el archivo TestBench: Úselo solo en el módulo que necesita generar el archivo TestBench, haga clic con el botón derecho del mouse, seleccione Generar Testbench y genere directamente un archivo Testbench. Por supuesto, la plantilla generada puede ser modificada por usted mismo.

2. Inserte el encabezado del archivo: haga clic con el botón derecho en el archivo y seleccione Insertar encabezado para insertar el encabezado del archivo. Debe escribir el archivo txt del encabezado del archivo de antemano, donde {FECHA} se usa para la fecha actual, {AÑO} se usa para este año, {HORA} se usa para la hora actual, {ARCHIVO} se usa para el nombre del archivo actual y luego se configura en la configuración Sublime La ruta del archivo txt en el encabezado del archivo servirá.

3. Crear una instancia del módulo automáticamente: haga clic con el botón derecho en el módulo y seleccione Crear una instancia del módulo, y use la tecla de acceso directo CTRL + V en el archivo superior para crear una instancia del módulo.

4. Alineación automática: seleccione el código a alinear y use la tecla de atajo ctrl + shift + x para alinear.

Repetir código automáticamente: haga clic derecho en el módulo y seleccione Repetir código con números, que puede realizar la generación de una secuencia de repetición automática, que es muy útil al escribir declaraciones de casos. Consulte las instrucciones oficiales para un uso específico .

Supongo que te gusta

Origin blog.csdn.net/qq_33231534/article/details/107428702
Recomendado
Clasificación