Things about semiconductor annealing (2)

2. The role of semiconductor annealing

2.1 Improve the electrical properties of semiconductors
During the annealing process, defects in the material are repaired, impurity atoms and dislocations in the material are arranged, there are fewer dynamic carriers in the energy band, and the energy levels are relatively denser. Therefore, after annealing, the concentration of electrons and holes in the semiconductor material increases, the mobility of carriers increases, and the conductive properties are significantly improved.
Insert image description here
2.2 Adjusting the material structure
Annealing can make the internal arrangement of the material more orderly and improve the structure. For example, when molten silicon is slowly cooled at room temperature, a cliff is formed on the structural plane within the chip, which increases the local density until a certain number of covalent bonds, hydrogen atoms or defect atoms form a tetrad. A certain crystalline form. After annealing, these local cliffs are smoothed, the structural planes within the sheet are greatly reduced, and the crystalline morphology is improved.
Insert image description here
2.3 Eliminate stress
During the manufacturing process of semiconductor devices, crystal materials will generate a certain degree of stress due to factors such as processing, technology, and temperature. If not eliminated in time, the electrical performance of the semiconductor device will be affected. Annealing can eliminate stress, form a balanced state in the material, relax lattice strain, reduce the number and size of lattice defects and impurities, and improve the reliability of semiconductor devices.
Insert image description here

3. Common processes for semiconductor annealing

The semiconductor annealing process is widely used in semiconductor device manufacturing. For example, in CMOS process, annealing can improve the electrical performance and reliability of transistors: in solar cell manufacturing, annealing can improve the conversion efficiency of solar cells; in LED manufacturing, annealing can improve the luminous efficiency and stability of LEDs.
Insert image description here
The influencing factors of semiconductor annealing mainly include temperature, time, atmosphere, material type and material state, among which temperature is the most important factor affecting the effect of semiconductor annealing. Common annealing processes can be divided into thermal annealing, light annealing, electron beam annealing, laser annealing and other types , among which thermal annealing is the most commonly used annealing method.

3.1 Thermal annealing

1. Thermal annealing: By increasing the temperature, the material is heated for a certain period of time and then slowly cooled. Thermal annealing is a more commonly used semiconductor annealing method. It generally requires heating in an inert atmosphere. Commonly used inert gases include nitrogen, hydrogen, argon, etc.
2. Rapid thermal annealing: Rapid thermal annealing is a sudden thermal annealing process that generally uses laser or other energy sources to rapidly heat the material surface and then rapidly cools it in the air. Rapid thermal annealing can restore crystal defects particularly well and can effectively regulate the electrical properties of materials.
Insert image description here

3.2 Laser annealing

Laser annealing technology utilizes the characteristics of precise pulse laser energy control and high instantaneous pulse energy. After shaping by the laser system, an alloying reaction occurs between the metal Ni and SiC on the back side of the radiated wafer, and a Ni/Si compound layer and carbon aggregation are sequentially generated. bit layer and carbon vacancy layer. The carbon vacancy layer plays a donor role to reduce the potential barrier difference between the metal Ni and the SiC substrate, transforming the Schottky contact between the two into a good ohmic contact.
The excellent characteristics of laser annealing technology, such as localization and depth control, are suitable for annealing SiC thinned wafers, effectively overcoming the pain points of traditional high-temperature thermal annealing processes. At the same time, its micro/nanosecond-level annealing temperature rise rate extremely ensures the uniform distribution of the three elements C, Si, and Ni at the metal-semiconductor interface of the SiC wafer, and obtains a more stable and uniform ohmic quality than the traditional high-temperature thermal annealing process. touch.
Insert image description here

Guess you like

Origin blog.csdn.net/li_man_man_man/article/details/132352468